TW527666B - Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects - Google Patents

Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects Download PDF

Info

Publication number
TW527666B
TW527666B TW090126757A TW90126757A TW527666B TW 527666 B TW527666 B TW 527666B TW 090126757 A TW090126757 A TW 090126757A TW 90126757 A TW90126757 A TW 90126757A TW 527666 B TW527666 B TW 527666B
Authority
TW
Taiwan
Prior art keywords
layer
copper
electroless
group
deposition
Prior art date
Application number
TW090126757A
Other languages
English (en)
Inventor
Madhav Datta
Valery M Dubin
Christopher D Thomas
Paul J Mcgregor
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of TW527666B publication Critical patent/TW527666B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

527666 A7 B7 五、發明説明(1 ) 發明範疇 本發明係大體關於金屬電鍍範疇,及更特定言之係於積 體電路製造,在晶圓上無電電鍍銅以填充嵌入結構。 發明背景 在半導體製造的進展以得到積體電路多層導線的發展。 在該積體電路在連接層上有圖案之導體材料係以薄膜材料 像是二氧化矽與另一連接層上有圖案之導體材料電性上絕 緣。此等導體材料係一般爲金屬或金屬合金。連接在不同 導線層導體材料係以在絕緣層形成開口以提供電性導電結 構像是不同導線層有圖案之導體材料能使彼此電性接觸。 此等電性導體結構通常係指接觸或引洞。 另一在半導體製造的進展,像是有能力可重複非常小圖 案之特點可得到整合數以百萬計之電晶體其每一可以高速 開關。一種併入如此多快速開關在積體電路之結果係增加 在操作時之電力消耗。一種在增加速度但降低電力消耗之 技術係將傳統建構在積體電路導線之鋁及鋁合金取代爲金 屬銅其提供,較低電阻。彼等熟諳電子技藝者將欣賞其可降 低電阻,訊號可更快地經由積體電路導線通道傳遞。再 者,因爲銅之電阻係大幅低於鋁之電阻,銅導線之剖面區 域,相較於銘之導線,可製作地較細在基於導線之電阻上 而不會引起增加訊號傳遞延遲。此外,因爲在2電性節點 之間之電容係作用於彼等節點之間重疊面積,使用較細銅 導線可得到減少寄生電容。在此方面以銅爲基礎之導線取 代鋁爲基礎之導線可提供基於所選定之尺寸,降低電阻, -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂
527666 A7 B7 五、發明説明(2 ) 降低電容或二者。 如上所述,銅之電性優點像是每一剖面區域之低電阻, 提供降低寄生電容之能力,及更加免疫電子遷移。對此所 有之理由,積體電路之製造者發現其有必要加入銅於其產 品中。 雖有電性優點,但銅係不易整合於積體電路之製造。如 此範疇所知,銅對金屬氧化半導體(MOS )場晶體效應 (FETs)之執行有負面的影響其係如果銅遷移或擴散至積 體電路之電晶體區域。因此銅隻阻隔層係要將銅金屬與彼 等電晶體區域絕緣。此外不像鋁爲基礎之金屬導線其可由 次主動蝕刻製程所形成,銅導線一般係由嵌入金屬製程所 形成。有時也指該製程係爲鑲嵌金屬製程。在嵌入製程 中,溝槽係形成在第一層,金屬係形成在包括溝槽第一層 上。隨及研磨多餘之金屬以留下在溝槽中個別之導線。 因此,有金屬電鍍方法,材料及儀器之需求以在晶圓上 形成由銅和銅合金之導體導線。 圖例簡述 圖1銅嵌入結構之剖面概要圖。該結構係代表電鍍後研 磨前之製造狀態其已執行主體電解沉積在無電製程沉積層 上0 圖2銅嵌入結構之剖面概要圖。該結構係代表電鍍後研 磨前之製造狀態其無電C u沉積製程係用來修補晶種層以 及執行主體填充。 圖3相對應本發明之方法流程圖。 -5- 本纸張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 527666 A7 B7 五、發明説明(3 ) 圖4相對應本發明之另一方法流程圖。 圖5相對應本發明之更進一步之另一方法流程圖。 圖例詳敘 敘述無電銅電鍍方法。以下將提出許多特定細節敘述以 提供對本發明之了解。然而對彼等熟諳技藝者及此揭露有 好處係顯而易見,本發明可以儀器及製程其不同於彼等在 此特定者來執行。 於此參考” 1具體實施例π,” 1種具體實施例”或相似之 構想意指相連於具體實施例所述特定之特點、結構、或性 質係至少存在與本發明之具體實施例。因此,其中所出現 之該片語或構想則不必全指向相同之具體實施例。再者, 不同之特定之特點、結構、或性質可以合適之方式相連於 1或多具體實施例。 術語 該詞,晶粒,積體電路,整體的元件,半導體元件或成 分,微電子元件或成分,和相對的詞和敘述係通常可交互 的用在此镇域。本發明係可應用在上述所有正如其在該領 域大體所能了解。 該詞,皆相關於金屬線,痕跡,金屬絲,導體,訊號路 徑,及訊號媒介。上述所列之詞大體可交互使用及以特定 到一般性的順序排列。在該領域,金屬線有時係指痕跡, 金屬絲,線,導線或簡單的金屬。 該詞,接觸和引洞二者皆指在不同内連接層導體之電性 連接結構。此等詞係有實用在此技藝來敘述絕緣體之開口 -6 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 裝 訂
52^666 A7
其以芫成結構,及完成結構之本身。爲此揭露之目的,接 觸和引洞係指完成結構。 單位公克/升係所寫成g/1。 該詞垂直,用於此,主要係指垂直於基板之表面。 如上所述,在嵌入製程中,溝槽係形成在第一層,金屬 係形成在包括溝槽第一層上。隨及研磨多餘之金屬以留下 在溝槽中個別之導線。嵌入金屬製程係指單一嵌入如果僅 有形成溝槽及雙嵌入如果形成溝槽及引洞開口。更特定言 之,在一般之雙嵌入製程,阻隔層係形成在介電層,溝槽 及引洞開口之表面。此阻隔層係由1或多種材料所形成, 選用之材料係因其有防止,或主要消減銅從導線擴散至周 圍介電層之能力。隨即形成銅晶種層在阻隔層上,及執行 一般之銅電鍍。 一般形成C U晶種層之方法係包括自我離子電漿沉積 (SIP )’其不能提供在小溝槽及引洞上一致的及連續的薄 層。相比之下,相對應本發明之無電沉積形成C u晶種層 之方法係可用在沉積連續的及一致的薄C u晶種層其基本 上可得到無孔洞電鍍C u導線。再本發明之具體實施例 中’ C 〇層係用作爲一催化表面,也可當作分流層以提供 改善C u導線電子遷移之特性。 一種相對應本發明無電之製程可用來修補s j P沉積C u晶 種層及也可用來製造Cu導線。 圖1銅嵌入結構1 0 0之剖面概要圖其形成在部分製程晶 圓。嵌入結構1 0 0係代表電鍍後研磨前之製造狀態其已執 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
527666 A7
,包解'几和在無電製程沉積層上。電子沉積或電鍍係 肩/在極與揚極之間提供驅動電流。驅動該電流一般係 在叫圓加電壓(晶圓在電鍍槽中係爲一電極),因此有 逐原銅藉由從晶圓得到電子。更特定言之,圖案化一 ILD 係彳疋“中溝槽。如圖1所示,該溝槽之垂直側邊與底 二表面積,其ILD i 〇2頂部表面積係由阻隔層1 〇 4所覆 二、形成阻隔層1〇4之材料.係主要或完全防止銅原子從接 ’’、貝所形成 < 銅或銅合金層擴散。在阻隔層1 0 4上形成一晶 種層1 0=。銅及鈷係爲金屬之實例可用在形成晶種層 1〇5。隨即執行無電銅沉積。無電Cu層1〇6係在晶種層 1 0 5上形成。該操作係對晶種層i 〇 5形態有利的。沉積 =層係指修補晶種|。如圖i更進一步所指,執行銅主 體填无已完成溝槽填充操作。主體填充銅B 銅層⑽二者其在溝槽及ILD1G2頂部表面積。^實: 中,形成王體填充銅係由電子沉積。 圖2+銅嵌入結構2 〇 〇之剖面概要圖其形成在部分製程晶
圓。簽人结構2 00係代表電艘後研磨前之製造狀能W 〜沉積製程係用來修補晶種層以及執行主體填二;: 定言之,圖案化一 ILD 102 #從其巾i # ,、 你仗具宁溝槽。如圖2所示,該 溝槽之垂直側邊與底部表面積,其ILDl〇2頂部表面積係 由阻隔層104所覆蓋。形成阻隔層1〇4之材料係主要或完 全防止銅原子從接續所形成之銅或銅合金層擴散。在阻严 層1〇4上形成一晶種層105。銅及始係爲金屬之實例可: 在形成晶種層105。隨即執行無電鋼&積。如圖2更進一
裝 訂
-8 -
527666 A7 B7 五、發明説明(6 ) 步所指,執行銅主體填充已完成溝槽填充操作。主體填充 銅2 1 0係覆蓋無電銅層1 05二者其在溝槽及ILD 102頂部表 面積。在此實例中,形成主體填充銅係由電子沉積。事實 上,主體填充操作係可爲連續性無電沉積其用在修補晶種 層。 説明方法 本發明之具體實施例包括無電沉積薄C u晶種層在阻隔 層上以促進C u導線電鍍。該晶種層之厚度一般係爲約 100埃。該形成阻隔層之材料包括但不受限於Ta, TaN,TaSiN,W,WN,WSiN,Ti,TiN,TiSiN,及 其此等材料之組合物。 無電金屬電鍍係爲從溶液自動催化(無-電子)沉積之方 法。還原金屬離子所需之電子係由在催化表面還原劑之自 發氧化反應所提供以還原金屬離子。電鍍起始於催化之表 面及由電鍍金屬表面本身之催化本質所維持。相對應本發 明無電製程之不同部分係包括催化無電C u沉積及無電槽 成分。 關於催化無電C u沉積,此反應發生在一層其有催化之 特性已引發起始還原劑之氧化反應。C u,P d,P t,Ru, R h,A u,A g,C ο,及N i係爲氧化還原劑之催化反應。 於此説明之具體實施例所敘,C 〇係爲催化層。C 〇可藉由 濕式或乾式法沉積在阻隔層上。乾式沉積方法實例包括 C VD,P VD及ALD (原子層沉積)。濕式沉積方法實例包 括Co接觸取代沉積其在溶液包含有Co離子及酸(像是, -9- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 527666 A7 B7 五、發明説明(7 ) 但不受限於,HF,HC1,及HN〇3)或鹼(像是,但不受限 於,KOH,及四甲基氫氧化氨TMAH)以溶解阻隔層之氧 化物,複合劑(像是,但不受限於,擰檬酸,醋酸)及還原 劑(像是,但不受限於,連二磷酸鹽,二甲基硼酸(DMAB ) ,聯氨)。C 〇係相當好用也可改善銅導線之電子遷移特 性。此類C 〇層厚度一般係小於5 0 0埃。於此説明之具體 實施例所敘,C 〇層厚度主要係爲1 0 0埃。 關於無電槽成分,使用銅來源、還原劑、p Η缓衝劑、 複合劑、及介面活性劑。可使用一種像是簡單銅之鹽類 (1 -10 g/1 )像是硫酸銅,氯化銅,硝酸銅爲銅來源。甲 醛、連二磷酸鹽及乙二酸可用爲相對應本發明無電沉積銅 之還原劑(2-15 g/Ι)。事實上所有商業化無電銅槽係用甲 醛爲還原劑。然而,由於環境健康安全之理由,使用含有 甲醛之無電銅槽在未來於半導體製造上是不預期可允許 的。因此,乙二酸係目前較加之還原劑成份其用在相連於 本發明之具體實施例。 使用上述所敘還原劑之無電銅槽需有相當高之pH通常 在9及13,及大體可用氫氧化鉀(KOH),或氫氧化鈉 (NaOH)來調整。然而在先進導線應用上,係較佳使用無 鹼金屬pH調整者像是氫氧化氨或四甲基氫氧化氨 (TMAH) 〇 因爲銅鹽類係不溶於鹼性的p Η,必須使用一種複合劑 或螯合劑。乙二胺四乙酸(EDTA)、酒石酸鹽(Rochelle 鹽,酒石酸銨)及醇胺像是四元醇(N,N,NWtetrakis(2- -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 527666 A7 _B7 五、發明説明(8 ) hydroxypropyl(ethylenediamine)或相關之化合物通常係用 10-75 g/Ι之範圍。酒石酸鹽之優點係特別適用在低沉積速 率,近室溫之應用,及易廢水處理。 一種介面活性劑像是聚乙二醇(5-100 ppm)可用爲潤濕 劑。除了聚乙二醇,介面活性劑像是但不受限,可用聚丙 二醇,Triton X-100 (t_octylphenoxypolyethoxyethanol)其由 Sigma-Aldrich of St· Louis,Missouri 提供及 Rhodafac RE 610 其由 Rhone-Poulenc,France 提供。 一種相對應本發明之在高溫槽之特定實例(於此稱槽 A),包括 3 g/1 CuS04 · 5H20,6 g/Ι 乙二酸,20 g/Ι 酒石酸 銨,需KOH或TMAH量來調整槽A之pH爲12.3,及10 ppm之聚乙二醇(PEG)。此例中該槽係在70°C操作,然而 一高溫槽如此所述可在溫度介於4CTC及90°C之間操作。 一種相對應本發明之在室溫槽之特定實例(於此稱槽B ) ,包括 3 g/1 CuS04 · 5H20,6 gA 乙二酸,20 g/1 Rochelle 鹽,需TMAH量來調整槽B之pH爲12·3,及100 ppm之聚 乙二醇(PEG)。在室溫下所揮發TMAH量係大幅度地小於 在高溫之槽A,因此槽B較槽A可穩定在較長之一段時 在此範圍平凡之技術將了解其結合在一起之成份以形成 電鍍槽可在結合時形成多樣之混合物或反應產物可離子化 或解離或形成複合物。 對一給定之槽,晶粒大小及表面粗操度係隨沉積時間增 加(其亦可換句話爲厚度之觀點);然而對厚度在1 〇〇埃之 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 527666 A7 B7 五、發明説明(9 ) 等級,可得到平滑之表面。一致性無電銅沉積以由細溝槽 發明者證實(例如,在介電層〇· 1微米)。 一種無電銅槽可應用不同方式製造C u導線包括例如, 形成晶種層/分流層,晶種層修補,及導線之主體C u沉 積。第一之應用,一種Cu晶種層可沉積在薄催化Co層其 本身可以無電或眞空法沉積。一種一致的與連續的c u晶 種層可得到接續之無洞電鍍C u導線。在下層之C 〇層係爲 電子之分流層以完成導線,因此改善C u導線電子遷移之 特性。第二之應用係藉由無電沉積薄層C u以修補晶種層 之缺陷。該缺陷發生在晶種層以由眞空製程沉積。在此 例,額外一催化層係沒有必要的(例如,C 〇催化層)。第 三之應用係形成主體Cu導線其藉由填充溝槽及經由延長 無電C u沉積。相較於電子製程其在晶圓無一致性之電流 分部導致無一致性C u沉積,一種無電製程提供較佳一致 性之沉積。如上所述之C 〇層可用在C u導線以改善電子遷 移特性。 結論 本發明之具體實施例提供無電C u沉積晶種層可用於一 嵌入製程形成在積體電路上之導線。此等導線一般係爲銅 或銅合金。 一個在一些本發明之具體實施例之優點係無電C u電鍍 槽使用對環境上合適之成分。特定言之,甲醛不需要在相 對應本發明之無電C u電鍍槽。 本發明某些實施例之進一步優點係爲Co/Cu晶種層提供 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 527666 A7 B7 五、發明説明(1〇 ) 銅互連之電子遷移特性的改進。 一個更進一步在一些本發明之具體實施例之優點係沉積 材料得到較佳一致性之厚度。 對彼等熟諳此技藝者將顯而易見在上述説明之具體實施 例可得導一些變化與修正。例如,不同組合之銅來源, pH緩衝劑,pH目標値,複合劑,及其他上述無電電鍍槽 之成分,以及在本發明範圍所用電鍍槽之溫度。 其他從特定所述儀器之修正材料及製程將對彼等熟諳此 技藝者及在本揭露有好處者顯而易見。因此,所有此類之 修正與選擇將欲認爲在最後增添申請專利之精神與範圍。 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)

Claims (1)

  1. 六、申請專利範圍 1· 一種形成銅導線的方法,其包括有 形成一溝槽,於基板上所沉積之介電層上,該溝槽和 介電層有暴露的表面; 形成一阻隔層,在該暴露的表面上; 形成一催化層,在該阻隔層上;及 項之方法’更進一步含有執行銅主體填 執行一 種層之無電沉積在該催化層上 2.如申請 充操作。丨 3·如申請專"^^2項之方法,其中阻隔層包含之材料係遠 自於有 Ta,TaN,TaSiN,W,WN,WSiN,Ti , 4.:2倉=’其中一之材― TlN,TiSiM:群,及其此等材料之組合物 群 Rh,Au,Ag,Co 及 Ni 之 5.如申請專翁β之方法,其中形成催化層包含沉積 C 〇 〇 6_如:請專詹項之方法,其中執行無電Cu電鍍操作含 有π至孩_||在無電電鍍槽其含有銅來源、還原劑、 pH缓衝劑合劑、及介面活性劑。 =申Μ專’第6項〈方法’其中包含維持無電電艘槽之 /皿度在40 C及90 C之間’該還原劑係選自於含有甲醛、 ,了磷酸鹽及乙二酸之群,該ρ Η缓衝劑係選自於含有 氫氧化氨及二甲基氫氧化氨之群;該複合劑係選自於含 有乙二胺四乙酸、酒石酸鹽及四元醇之群;該介面活性 本紙張尺歧种國(CNS) A4規格 -14 - A B c D 527666 ^、申請專利範圍 劑係選自有聚乙二醇、聚丙二醇、Triton X-100及 Rhodafac 之群。 8. 如申請專知第6項之方法,更進一步包含維持無電電鍍 槽之溫度g 2O°C及30°(:之間,該還原劑係選自於含有曱 醛、連二磷酸鹽及乙二酸之群,該p Η緩衝劑係選自於 含有氫氧化氨及三甲基氫氧化氨之群;該複合劑係選自 於含有乙二胺四乙酸、酒石酸鹽及四元醇之群;該介面 活性劑係選自於含有聚乙二醇、聚丙二醇、Triton X-100 及 Rho|^_ RE 610 之群。 9. 如申請專項之方法,更進一步包含以化學機械研 磨移除多銅主體部分以形成個別之導線。 10. 如申請專項之方法,更進一步包含以化學機械研 磨移除多餘之銅主體部分以形成個別之導線。 11. 一種修補銅晶種層之方法,其包含有 形成一層在基板上,該層係作用爲阻隔層以阻隔銅原 子擴散通過; 藉由自.我離子電漿於該阻隔層上沉積一銅晶種層;及 將該基板浸入一無電銅電鍍槽; 其中該無電電鍍槽係以至少CuS04 · 5H20、乙二酸; p Η緩衝劑合劑,和聚乙二醇所形成。 12. 如申請專1項之方法,其中pH緩衝劑係選自於含 ! U' ^ 有氫氧化嫌_四甲基氫氧化氨之群。 13. 如申請專较Ρ 1 2項之方法,其中複合劑係選自於含有 乙二胺四乙酸。 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A8 B8 C8 D8
    -1¾ 527666 14.如申請專|}:|11項之方法,其中pH缓衝劑係選自於本 有三甲基化氨及複合劑係含有酒石酸鹽。 U如申請專考胃丨3項之方法,更進一步包:維持 鍍槽之溫約70°C。 % 16·如申請專靖1 4項之方法,更進一步包本 ^。隹待《電電 鍍槽之溫靡1:溫。 二了:’’ Ί I·7· —種形成病導線之方法,其包含有 形成一溝槽’於基板上所沉積之介電層上,該溝样 介電層有暴露的表面; 形成一阻隔層,在該暴露的表面上; 形成一催化層,在該阻隔層上; 執行一銅晶種層之無電沉積在該催化層上;及 及執行与充操作以至少填充該溝槽。 18·如申請專Ρί17項之方法,其執行主體填充操作係包 含浸至該在電鍍槽及加驅動電流。 19. 如申請專^^1 7項之方法,其執行主體填充操作係包 含無電CU=_ ° 20. 如申请專_丨准丨9項之方法,其中無電c u沉積係在第i電 鍍槽中執第1電鏡槽也用在修補晶種層。 21·如申請專9項之方法,其中無電c u沉積係在第2電 鍍槽中執及晶種層係在第1電鍍槽中執行其不同於 第2電鍍 22.如申請專霄!^21項之方法,其中催化層包含c〇及第 艘槽係包棱差少四甲基氫氧化銨,乙二酸及聚乙二醇。 -16 -
    本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
TW090126757A 2000-11-29 2001-10-29 Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects TW527666B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/728,683 US20020064592A1 (en) 2000-11-29 2000-11-29 Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects

Publications (1)

Publication Number Publication Date
TW527666B true TW527666B (en) 2003-04-11

Family

ID=24927876

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090126757A TW527666B (en) 2000-11-29 2001-10-29 Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects

Country Status (4)

Country Link
US (1) US20020064592A1 (zh)
AU (1) AU2002217822A1 (zh)
TW (1) TW527666B (zh)
WO (1) WO2002045155A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105274591A (zh) * 2014-07-15 2016-01-27 罗门哈斯电子材料有限责任公司 无电极铜电镀组合物

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
JP4482744B2 (ja) 2001-02-23 2010-06-16 株式会社日立製作所 無電解銅めっき液、無電解銅めっき方法、配線板の製造方法
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6787912B2 (en) * 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures
US6812143B2 (en) * 2002-04-26 2004-11-02 International Business Machines Corporation Process of forming copper structures
DE10302644B3 (de) 2003-01-23 2004-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators
KR101098568B1 (ko) * 2003-01-23 2011-12-26 어드밴스드 마이크로 디바이시즈, 인코포레이티드 패터닝된 유전체 위에 촉매 함유 층을 형성하는 방법
US6897152B2 (en) * 2003-02-05 2005-05-24 Enthone Inc. Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication
US6794288B1 (en) * 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
DE10323905A1 (de) * 2003-05-26 2005-01-05 Infineon Technologies Ag Verfahren zur Erzeugung von ultradünnen homogenen Metallschichten
US20050067295A1 (en) * 2003-09-25 2005-03-31 Dory Thomas S. Deep via seed repair using electroless plating chemistry
US7300860B2 (en) * 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
DE102004028030B4 (de) * 2004-06-09 2006-07-27 Infineon Technologies Ag Katalytisches Beschichtungsverfahren für strukturierte Substratoberflächen und mit einer Siliziumdioxid-Dünnschicht beschichtetes Substrat mit einer strukturierten Oberfläche
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US7465652B2 (en) 2005-08-16 2008-12-16 Sony Corporation Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
US7422975B2 (en) 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US8586468B2 (en) 2005-08-24 2013-11-19 Sony Corporation Integrated circuit chip stack employing carbon nanotube interconnects
US7251799B2 (en) 2005-08-30 2007-07-31 Sony Corporation Metal interconnect structure for integrated circuits and a design rule therefor
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5377831B2 (ja) * 2007-03-14 2013-12-25 Jx日鉱日石金属株式会社 ダマシン銅配線用シード層形成方法、及びこの方法を用いてダマシン銅配線を形成した半導体ウェハー
US20080249044A1 (en) * 2007-04-03 2008-10-09 Masaya Tanaka Nucleic acid external skin formulation
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
GB0903642D0 (en) 2009-02-27 2009-09-30 Bae Systems Plc Electroless metal deposition for micron scale structures
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8585180B2 (en) 2009-10-28 2013-11-19 Hewlett-Packard Development Company, L.P. Protective coating for print head feed slots
JP2012224944A (ja) * 2011-04-08 2012-11-15 Ebara Corp 電気めっき方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104040026B (zh) 2011-10-05 2019-01-01 埃托特克德国有限公司 不含甲醛的化学镀镀铜溶液
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140134351A1 (en) 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2784181B1 (en) * 2013-03-27 2015-12-09 ATOTECH Deutschland GmbH Electroless copper plating solution
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160145745A1 (en) * 2014-11-24 2016-05-26 Rohm And Haas Electronic Materials Llc Formaldehyde-free electroless metal plating compositions and methods
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180096858A1 (en) 2016-09-30 2018-04-05 International Business Machines Corporation Metalization repair in semiconductor wafers
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6054173A (en) * 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US6136693A (en) * 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105274591A (zh) * 2014-07-15 2016-01-27 罗门哈斯电子材料有限责任公司 无电极铜电镀组合物
TWI579407B (zh) * 2014-07-15 2017-04-21 羅門哈斯電子材料有限公司 無電銅鍍覆組成物
CN105274591B (zh) * 2014-07-15 2017-10-24 罗门哈斯电子材料有限责任公司 无电极铜电镀组合物

Also Published As

Publication number Publication date
AU2002217822A1 (en) 2002-06-11
US20020064592A1 (en) 2002-05-30
WO2002045155A2 (en) 2002-06-06
WO2002045155A3 (en) 2003-06-05

Similar Documents

Publication Publication Date Title
TW527666B (en) Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects
JP6903061B2 (ja) Si貫通電極のメッキのプロセス及び化学作用
JP4642229B2 (ja) 半導体作業部材の上に銅を電解により沈着させる装置および方法
JP5346215B2 (ja) 半導体デバイスの製造において直接銅めっきし、かつ充填して相互配線を形成するための方法及び組成物
JP6367322B2 (ja) 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法
JP2010507263A (ja) 超小型電子デバイスの製造におけるフィチャーを埋め込むための銅堆積
US6398855B1 (en) Method for depositing copper or a copper alloy
JP6474410B2 (ja) 電気化学的に不活性なカチオンを含む銅電着浴
KR101170560B1 (ko) 반도체 산업에서 사용하기 위한 3성분 물질의 무전해석출용 조성물
US20110057316A1 (en) Copper wiring line of semiconductor device and method for forming the same
TW200537576A (en) Method of fabricate interconnect structures
JP4637989B2 (ja) 半導体配線膜の形成方法
TW201602424A (zh) 用於在反應性金屬膜上電化學沉積金屬的方法(二)
US20130213816A1 (en) Incorporating High-Purity Copper Deposit As Smoothing Step After Direct On-Barrier Plating To Improve Quality Of Deposited Nucleation Metal In Microscale Features
EP3067439B1 (en) Electroless metal deposition on a Mn or MnNx barrier
TWI509104B (zh) 釕之無電沈積用之鍍覆溶液
KR101076927B1 (ko) 반도체 소자의 구리 배선 구조 및 그 형성방법
TWI638424B (zh) 利用濕式晶圓背側接觸進行銅電鍍矽穿孔的方法
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
JP5399421B2 (ja) バリア機能を有する金属元素と触媒能を有する金属元素との合金膜を有する基板
TW472378B (en) Electroplating of copper seed layer in a metal process
JP2010275572A (ja) 貫通シリコンビアを有するめっき物及びその形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent