KR100499557B1 - 반도체소자의 배선 형성방법 - Google Patents

반도체소자의 배선 형성방법 Download PDF

Info

Publication number
KR100499557B1
KR100499557B1 KR10-2001-0032474A KR20010032474A KR100499557B1 KR 100499557 B1 KR100499557 B1 KR 100499557B1 KR 20010032474 A KR20010032474 A KR 20010032474A KR 100499557 B1 KR100499557 B1 KR 100499557B1
Authority
KR
South Korea
Prior art keywords
film
forming
metal film
copper
wiring
Prior art date
Application number
KR10-2001-0032474A
Other languages
English (en)
Other versions
KR20020094362A (ko
Inventor
민우식
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2001-0032474A priority Critical patent/KR100499557B1/ko
Priority to JP2002156941A priority patent/JP2003045878A/ja
Priority to US10/157,853 priority patent/US20020187624A1/en
Publication of KR20020094362A publication Critical patent/KR20020094362A/ko
Application granted granted Critical
Publication of KR100499557B1 publication Critical patent/KR100499557B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

구리배선의(111) 결정성장을 용이하게 하여 구리배선의 전기이동 특성을 향상시켜서 구리배선의 신뢰성을 향상시키기에 알맞은 반도체소자의 배선 형성방법을 제공하기 위한 것으로, 이와 같은 목적을 달성하기 위한 반도체소자의 배선 형성방법은 기판상에 층간절연막을 패터닝하여 트렌치를 형성하는 공정, 상기 트렌치 및 상기 층간절연막상에 베리어 메탈막을 형성하는 공정, 상기 베리어 메탈막상에 씨드 구리막을 형성하는 공정, 상기 씨드 구리막상에 물리적 기상 증착법으로 피브이디(PVD) 메탈막을 형성하는 공정, 상기 트렌치를 메우도록 상기 피브이디(PVD) 메탈막상에 전해도금 메탈막을 증착하는 공정, 상기 층간절연막이 드러나며 상기 트렌치내에만 남도록 메탈배선을 형성하는 공정을 포함함을 특징으로 한다.

Description

반도체소자의 배선 형성방법{method for fabricating the wire of semiconductor device}
본 발명은 반도체 소자에 대한 것으로, 특히 Cu(111) 결정성을 높여서 구리배선의 신뢰성을 향상시키기에 알맞은 반도체 소자의 배선 형성방법에 관한 것이다.
구리(Cu)배선 공정은 IC회로의 스케일 다운(Scale down)에 따라 사용이 불가피하였는데, 현재는 전해도금을 이용한 구리 배선공정이 실용화 단계에 있다.
구리 배선공정은 반응성 이온 에치(Reactive Ion Etch:RIE)방식으로 배선을 형성시키는 알루미늄(Al)공정과는 달리 듀얼 다마센(dual damascene)공정을 이용하여 절연막패턴을 형성시키고, 베리어 메탈을 증착한 후 구리 전해도금법으로 구리배선을 형성시킨다.
이때 구리 전해도금법으로 베리어 메탈위에 구리를 직접 증착하는 것이 불가능하기 때문에 씨드층(seed layer)으로써 구리를 얇게 증착(구리 씨드층 증착)한후에 전해도금법을 진행한다.
그러나 생산 기술 노드(technology node)가 0.13㎛이하에서는 이러한 물리적 기상 증착(Physical Vapor Deposition : PVD) 방식에 의한 구리 씨드층으로는 미세한 크기의 절연막 패턴 내부를 균일하게 증착시킬 수 없기 때문에 전해 도금법으로는 더 이상 구리 배선공정이 불가능하게 되었다.
그러나 최근들어 이를 해결하기 위한 방안으로 화학기상증착(Chemical Vapor Deposition:CVD)법 또는 무전해 도금법을 이용한 구리 씨드층의 형성에 대한 연구가 활발히 진행되고 있다.
이러한 방식을 이용하면 0.1㎛이하의 생산기술(technology)까지 구리 전해도금법으로 구리배선을 형성할 수 있다.
그러나 CVD법 또는 무전해 도금법으로 형성된 Cu막은 무질서한 방위를 갖기 때문에 그 위에 전해도금법으로 구리배선을 형성할 때 구리배선은 (111) 결정성장을 이루기가 어렵다.
이는 구리배선의 전기이동(Electro-Migration : EM) 특성에 악영향을 미치므로 Cu(111) 결정성을 향상시킬 수 있는 기술이 모색되어야 한다.
상기에 기술한 종래 반도체 소자의 배선 형성방법을 첨부 도면을 참조하여 설명하면 다음과 같다.
도 1a 내지 도 1b는 종래 반도체소자의 배선 형성방법을 나타낸 공정단면도이다.
종래 반도체소자의 배선 형성방법은 도 1a에 도시한 바와 같이 실리콘기판(11)상에 화학기상 증착법으로 층간절연막(12)을 형성한다.
이후에 도면에는 도시되어 있지 않지만 층간절연막(12)상에 감광막을 도포하고, 노광 및 현상공정으로 감광막을 패터닝한 후, 패터닝된 감광막을 마스크로 층간절연막(12)을 식각해서 트렌치를 형성한다.
이와 같은 공정에 의해서 실리콘기판(11)의 일영역이 드러나게 된다.
다음에 도 1b에 도시한 바와 같이 층간절연막(11) 및 트렌치 표면에 물리적 기상 증착(PVD)법으로 베리어 메탈막(13)을 형성한다.
그리고 베리어 메탈막(13)상에 화학기상 증착법이나 무전해 도금법으로 씨드 구리막(14)을 형성한다.
이후에 전해도금(Electro-Plating;EP)법으로 트렌치를 메울 수 있도록 전면에 전해도금 구리막(15)을 형성한다.
다음에 도 1c에 도시한 바와 같이 화학적 기계적 연마 공정으로 전해도금 구리막(15)과 씨드 구리막(14)과 베리어 메탈막(13)을 층간절연막(12)이 드러나게 평탄화하여 트렌치내에 다층의 구리배선(16)을 형성한다.
상기와 같은 종래 반도체소자의 배선 형성방법은 다음과 같은 문제가 있다.
화학기상 증착법이나 무전해 도금법으로 형성된 씨드 구리막은 무질서한 방위를 갖기 때문에 이와 같이 형성된 씨드 구리막상에 전해도금법으로 구리막을 형성할 때 구리(Cu)막의 (111) 결정성장이 어렵게된다.
이에 따라서 구리막의 전기이동(Electro-migration) 특성이 악화되어서 구리배선의 신뢰성이 떨어진다.
본 발명은 상기와 같은 문제를 해결하기 위하여 안출한 것으로 특히, 구리배선의 (111) 결정성장을 용이하게 하여 구리배선의 전기이동 특성을 향상시켜서 구리배선의 신뢰성을 향상시키기에 알맞은 반도체소자의 배선 형성방법을 제공하는데 그 목적이 있다.
상기와 같은 목적을 달성하기 위한 본 발명 반도체소자의 배선 형성방법은 기판상에 층간절연막을 패터닝하여 트렌치를 형성하는 공정, 상기 트렌치 및 상기 층간절연막상에 베리어 메탈막을 형성하는 공정, 상기 베리어 메탈막상에 씨드 구리막을 형성하는 공정, 상기 씨드 구리막상에 물리적 기상 증착법으로 피브이디(PVD) 메탈막을 형성하는 공정, 상기 트렌치를 메우도록 상기 피브이디(PVD) 메탈막상에 전해도금 메탈막을 증착하는 공정, 상기 층간절연막이 드러나며 상기 트렌치내에만 남도록 메탈배선을 형성하는 공정을 포함함을 특징으로 한다.
화학기상증착(Chemical Vapor Deposition:CVD)법 또는 무전해 도금법으로 형성된 구리(Cu)막은 매우 무질서한 구성(texture)을 갖는 것으로 알려져 있고, 그 위에 구리막을 전해도금할 경우에 전기이동(Elector-Migration : EM)특성에 유리한 Cu(111) 구성을 얻는 것이 매우 어렵다.
본 발명은 CVD법이나 무전해도금법으로 형성된 구리막 위에 다시 PVD법으로 Cu를 증착한 후 구리 전해도금하는 공정에 대한 것이다.
이하, 첨부 도면을 참조하여 본 발명 반도체소자의 배선 형성방법에 대하여 설명하면 다음과 같다.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체소자의 배선 형성방법을 나타낸 공정단면도이다.
본 발명 반도체소자의 배선 형성방법은 도 2a에 도시한 바와 같이 실리콘기판(21)상에 화학기상 증착법으로 실리콘산화막(SiO2)이나 저유전체(대략 유전상수:1~3)막을 증착하여 층간절연막(22)을 형성한다.
이후에 도면에는 도시되어 있지 않지만 층간절연막(22)상에 감광막을 도포하고, 노광 및 현상공정으로 감광막을 선택적으로 패터닝한 후, 패터닝된 감광막을 마스크로 층간절연막(22)을 식각해서 일라인 방향의 트렌치를 형성한다.
상기에서 트렌치는 싱글(single) 또는 듀얼(dual) 다마센(damascene) 공정을 이용한다.
이와 같은 공정에 의해서 실리콘기판(21)의 일영역이 드러나게 된다.
다음에 도 2b에 도시한 바와 같이 층간절연막(21) 및 트렌치 표면에 물리적 기상 증착(PVD)법이나 화학적 기상 증착법에 의해 베리어 메탈막(23)을 형성한다.
이때 베리어 메탈막(23)은 Ta, TaN, TaC, WN, TiN, TiW, TiSiN, WBN 또는 WC와 같은 물질을 증착하여 형성한다.
그리고 베리어 메탈막(23)상에 화학기상 증착법이나 무전해 도금법으로 대략 10~1000Å 범위의 두께를 갖는 씨드(seed) 구리막(24)을 증착한다.
이후에 도 2c에 도시한 바와 같이 물리적 기상 증착(Physical Vapor Deposition:PVD)법으로 씨드 구리막(24)상에 대략 10~1000Å 범위의 두께를 갖는 피브이디 구리막(25)을 형성한다.
그리고 도 2d에 도시한 바와 같이 전해도금법을 이용하여 트렌치를 채우며 피브이디 구리막(25) 전면에 전해도금 구리막(26)을 증착한다.
이후에 도 2e에 도시한 바와 같이 전해도금 구리막(26)을 형성한 후에 24시간 이내에 열처리 공정을 진행한다.
이때 열처리 공정은 N2, Ar, H2 의 단독 기체 또는 N2+H2, Ar+H2, Ar+N2의 혼합기체를 사용한다.
그리고 열처리 공정은 급속 열처리(Rapid Thermal Process:RTP) 노(furnace)나 오븐 노(Oven furnace)에서 진행하는데, 급속 열처리 노에서는 250℃~500℃ 범위의 온도에서 1초~20분 동안 진행하고, 오븐 노(oven furnace)에서는 250℃~500℃ 범위의 온도에서 10초~30분 동안 진행한다.
다음에 화학적 기계적 연마공정으로 전해도금 구리막(26)과 피브이디 구리막(25)과 씨드 구리막(24)과 베리어 메탈막(23)을 평탄하게 연마하여 층간절연막(22)이 드러나도록 다층의 구리배선(27)을 형성한다.
상기에서 CVD법 또는 무전해도금법으로 형성된 씨드 구리막은 매우 무질서한 방위를 갖게 되지만, 그 위에 증착한 피브이디 구리막의 경우는 매우 강한 Cu(111) 결정성을 갖게 되므로 최종적인 전해도금 구리막은 강한 (111) 결정성을 나타내어 열처리 후 강한 (111) 결정성을 갖게된다.
상기와 같은 본 발명 반도체소자의 배선 형성방법은 다음과 같은 효과가 있다.
첫째, 씨드 구리막상에 Cu(111) 결정성이 좋은 피브이디 구리막을 형성한 후에 전해도금 구리막을 형성하므로 전해도금 구리막의 (111) 결정성을 향상시켜서 전자이동 특성이 좋은 신뢰성 있는 구리배선을 형성시킬 수 있다.
둘째, 전해도금법을 이용한 구리 배선 공정을 0.1㎛ 이하의 생산기술 노드(technology node)에 적용하기가 용이하다.
도 1a 내지 도 1b는 종래 반도체소자의 배선 형성방법을 나타낸 공정단면도
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체소자의 배선 형성방법을 나타낸 공정단면도
도면의 주요 부분에 대한 부호의 설명
21 : 실리콘기판 22 : 층간절연막
23 : 베리어 메탈막 24 : 씨드 구리막
25 : 피브이디 구리막 26 : 전해도금 구리막
27 : 구리배선

Claims (16)

  1. 기판상에 층간절연막을 패터닝하여 트렌치를 형성하는 공정,
    상기 트렌치 및 상기 층간절연막상에 베리어 메탈막을 형성하는 공정,
    상기 베리어 메탈막상에 씨드 구리막을 형성하는 공정,
    상기 씨드 구리막상에 물리적 기상 증착법으로 피브이디(PVD) 메탈막을 형성하는 공정,
    상기 트렌치를 메우도록 상기 피브이디(PVD) 메탈막상에 전해도금 메탈막을 증착하는 공정,
    상기 층간절연막이 드러나며 상기 트렌치내에만 남도록 메탈배선을 형성하는 공정을 포함함을 특징으로 하는 반도체소자의 배선 형성방법.
  2. 제 1 항에 있어서, 상기 피브이디 메탈막과 상기 전해도금 메탈막과 상기 메탈배선에서 메탈은 구리를 사용함을 특징으로 하는 반도체소자의 배선 형성방법.
  3. 제 1 항에 있어서, 상기 층간절연막은 실리콘산화막이나 저유전체막을 사용하여 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  4. 제 3 항에 있어서, 상기 저유전체막은 유전상수가 1~3인 물질을 사용함을 특징으로 하는 반도체소자의 배선 형성방법.
  5. 제 1 항에 있어서, 상기 트렌치는 싱글(single)이나 듀얼(dual) 다마센(damascene) 공정으로 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  6. 제 1 항에 있어서, 상기 베리어 메탈막은 화학적 기상 증착법이나 물리적 기상 증착법으로 제조함을 특징으로 하는 반도체소자의 배선 형성방법.
  7. 제 1 항에 있어서, 상기 베리어 메탈막은 Ta, TaN, TaC, WN, TiN, TiW, TiSiN, WBN 또는 WC로 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  8. 제 1 항에 있어서, 상기 씨드 구리막은 화학 기상 증착법이나 무전해 도금법을 이용하여 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  9. 제 1 항에 있어서, 상기 씨드 구리막은 10~1000Å 범위의 두께를 갖도록 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  10. 제 1 항에 있어서, 상기 피브이디 메탈막은 10~1000Å 범위의 두께를 갖도록 형성함을 특징으로 하는 반도체소자의 배선 형성방법.
  11. 제 1 항에 있어서, 상기 전해도금 메탈막을 증착한 후에 열처리 공정을 더 포함함을 특징으로 하는 반도체소자의 배선 형성방법.
  12. 제 11 항에 있어서, 상기 열처리 공정은 N2, Ar, H2의 단독 기체 또는 N2+H2, Ar+H2, Ar+N2의 혼합기체를 사용하여 진행함을 특징으로 하는 반도체소자의 배선 형성방법.
  13. 제 11 항에 있어서, 상기 열처리 공정은 급속 열처리(Rapid Thermal Process:RTP) 노(furnace)나 오븐 노(Oven furnace)를 이용하여 진행함을 특징으로 하는 반도체소자의 배선 형성방법.
  14. 제 13 항에 있어서, 상기 급속 열처리(Rapid Thermal Process:RTP) 노(furnace)에서 진행하는 열처리 공정은 250~500℃ 범위의 온도에서 1초~20분동안 진행함을 특징으로 하는 반도체소자의 배선 형성방법.
  15. 제 13 항에 있어서, 상기 오븐 노(Oven furnace)에서 진행하는 열처리 공정은 250~500℃ 범위의 온도에서 10초~30분동안 진행함을 특징으로 하는 반도체소자의 배선 형성방법.
  16. 제 1 항에 있어서, 상기 메탈배선의 형성은 상기 전해도금 메탈막과 상기 피브이디 메탈막과 상기 씨드 구리막을 화학적 기계적 연마공정으로 평탄화함을 특징으로 하는 반도체소자의 배선 형성방법.
KR10-2001-0032474A 2001-06-11 2001-06-11 반도체소자의 배선 형성방법 KR100499557B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2001-0032474A KR100499557B1 (ko) 2001-06-11 2001-06-11 반도체소자의 배선 형성방법
JP2002156941A JP2003045878A (ja) 2001-06-11 2002-05-30 半導体素子の配線形成方法
US10/157,853 US20020187624A1 (en) 2001-06-11 2002-05-31 Method for forming metal line of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0032474A KR100499557B1 (ko) 2001-06-11 2001-06-11 반도체소자의 배선 형성방법

Publications (2)

Publication Number Publication Date
KR20020094362A KR20020094362A (ko) 2002-12-18
KR100499557B1 true KR100499557B1 (ko) 2005-07-07

Family

ID=19710629

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0032474A KR100499557B1 (ko) 2001-06-11 2001-06-11 반도체소자의 배선 형성방법

Country Status (3)

Country Link
US (1) US20020187624A1 (ko)
JP (1) JP2003045878A (ko)
KR (1) KR100499557B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100750805B1 (ko) 2006-07-12 2007-08-20 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4660119B2 (ja) 2004-05-26 2011-03-30 株式会社東芝 半導体装置の製造方法
KR100642908B1 (ko) * 2004-07-12 2006-11-03 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100711928B1 (ko) * 2005-12-29 2007-04-27 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 및 그 형성 방법
US20070235876A1 (en) * 2006-03-30 2007-10-11 Michael Goldstein Method of forming an atomic layer thin film out of the liquid phase
KR100815950B1 (ko) * 2006-12-29 2008-03-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
KR100871768B1 (ko) * 2007-05-18 2008-12-05 주식회사 동부하이텍 반도체 소자 및 boac/coa 제조 방법
US8679962B2 (en) * 2008-08-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
KR102130673B1 (ko) * 2015-11-09 2020-07-06 삼성전기주식회사 코일 부품 및 그 제조 방법
CN114420568A (zh) * 2020-01-02 2022-04-29 长江存储科技有限责任公司 存储器的制作方法及存储器

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1145887A (ja) * 1997-05-30 1999-02-16 Internatl Business Mach Corp <Ibm> エレクトロマイグレーション耐性が向上し欠陥影響度が少ないサブクォーターミクロンの銅相互接続
KR19990042145A (ko) * 1997-11-25 1999-06-15 윤종용 반도체 장치의 콘택 형성 방법
KR20010003614A (ko) * 1999-06-24 2001-01-15 김영환 반도체 소자의 상감형 금속배선 형성방법
KR20010004717A (ko) * 1999-06-29 2001-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR20010030504A (ko) * 1999-09-27 2001-04-16 조셉 제이. 스위니 스퍼터된 도핑 씨드 층을 형성하는 방법 및 장치

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6130156A (en) * 1998-04-01 2000-10-10 Texas Instruments Incorporated Variable doping of metal plugs for enhanced reliability
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6440849B1 (en) * 1999-10-18 2002-08-27 Agere Systems Guardian Corp. Microstructure control of copper interconnects
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6555171B1 (en) * 2000-04-26 2003-04-29 Advanced Micro Devices, Inc. Cu/Sn/Pd activation of a barrier layer for electroless CU deposition
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US20020064592A1 (en) * 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6429523B1 (en) * 2001-01-04 2002-08-06 International Business Machines Corp. Method for forming interconnects on semiconductor substrates and structures formed
US6465867B1 (en) * 2001-02-21 2002-10-15 Advanced Micro Devices, Inc. Amorphous and gradated barrier layer for integrated circuit interconnects
US20020127849A1 (en) * 2001-03-09 2002-09-12 Chien-Hsing Lin Method of manufacturing dual damascene structure
US6740221B2 (en) * 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1145887A (ja) * 1997-05-30 1999-02-16 Internatl Business Mach Corp <Ibm> エレクトロマイグレーション耐性が向上し欠陥影響度が少ないサブクォーターミクロンの銅相互接続
KR19990042145A (ko) * 1997-11-25 1999-06-15 윤종용 반도체 장치의 콘택 형성 방법
KR20010003614A (ko) * 1999-06-24 2001-01-15 김영환 반도체 소자의 상감형 금속배선 형성방법
KR100333712B1 (ko) * 1999-06-24 2002-04-24 박종섭 반도체 소자의 상감형 금속배선 형성방법
KR20010004717A (ko) * 1999-06-29 2001-01-15 김영환 반도체 소자의 금속 배선 형성 방법
KR20010030504A (ko) * 1999-09-27 2001-04-16 조셉 제이. 스위니 스퍼터된 도핑 씨드 층을 형성하는 방법 및 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100750805B1 (ko) 2006-07-12 2007-08-20 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법

Also Published As

Publication number Publication date
US20020187624A1 (en) 2002-12-12
JP2003045878A (ja) 2003-02-14
KR20020094362A (ko) 2002-12-18

Similar Documents

Publication Publication Date Title
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US20020024142A1 (en) Semiconductor device and manufacturing method of the same
KR100499557B1 (ko) 반도체소자의 배선 형성방법
KR100331906B1 (ko) 반도체 장치의 제조 방법
KR100301248B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100495856B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
JP2001053077A (ja) 半導体集積回路装置およびその製造方法
KR100701673B1 (ko) 반도체 소자의 구리 배선 형성방법
KR100424714B1 (ko) 반도체소자의 구리 배선 형성 방법
KR20000059312A (ko) 반도체 장치의 콘택 형성 방법
KR20010009036A (ko) 반도체장치의 배선 및 그 연결부 형성방법
KR100283107B1 (ko) 반도체 소자의 구리배선 형성방법
KR100421913B1 (ko) 반도체 소자의 금속 배선 형성방법
US6440841B2 (en) Method of fabricating vias
KR100826784B1 (ko) 반도체 장치의 금속 배선 형성 방법
KR100373357B1 (ko) 다단계 알루미늄 스퍼터링을 이용한 반도체 소자의 금속배선형성방법
KR930001896B1 (ko) 반도체 장치의 금속배선구조 및 그 형성방법
KR100307827B1 (ko) 반도체소자의 금속배선 콘택 형성방법
KR100286253B1 (ko) 질소플라즈마를 이용한 선택적 금속박막 증착방법 및 그를 이용한 다층금속 연결배선 방법
JP2000124310A (ja) 半導体装置およびその製造方法
KR100217909B1 (ko) 반도체 소자의 다중 금속층 형성 방법
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100720400B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100324020B1 (ko) 반도체소자의금속배선형성방법
TW533542B (en) Manufacturing method of damascene copper wire

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080527

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee