JP4642229B2 - 半導体作業部材の上に銅を電解により沈着させる装置および方法 - Google Patents

半導体作業部材の上に銅を電解により沈着させる装置および方法 Download PDF

Info

Publication number
JP4642229B2
JP4642229B2 JP2000536908A JP2000536908A JP4642229B2 JP 4642229 B2 JP4642229 B2 JP 4642229B2 JP 2000536908 A JP2000536908 A JP 2000536908A JP 2000536908 A JP2000536908 A JP 2000536908A JP 4642229 B2 JP4642229 B2 JP 4642229B2
Authority
JP
Japan
Prior art keywords
metal
seed layer
copper
layer
plating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000536908A
Other languages
English (en)
Other versions
JP2002506927A (ja
JP2002506927A5 (ja
Inventor
チエン,リンリン
Original Assignee
セミトウール・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/045,245 external-priority patent/US6197181B1/en
Application filed by セミトウール・インコーポレーテツド filed Critical セミトウール・インコーポレーテツド
Publication of JP2002506927A publication Critical patent/JP2002506927A/ja
Publication of JP2002506927A5 publication Critical patent/JP2002506927A5/ja
Application granted granted Critical
Publication of JP4642229B2 publication Critical patent/JP4642229B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/627Electroplating characterised by the visual appearance of the layers, e.g. colour, brightness or mat appearance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Electrochemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating And Plating Baths Therefor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

【0001】
マイクロ電子装置を製作する際、1種またはそれ以上の金属メッキ層を被覆する工程はしばしば全製作工程中の重要な工程であることが多い。金属メッキ層は読出し/書込みヘッドのような別々のマイクロ電子部品の製造に使用することができるが、もっと多くは半導体の作業部材のような作業部材上につくられる相互連結部材に使用される。例えばこのような構造物は集積回路の装置を連結するのに使用される。
【0002】
ここで使用されるいくつかの言葉を基本的に理解することは、本発明の主題を理解する上で読者の助けになるであろう。この目的のために本明細書に使用されるいくつかの言葉の基本的な言葉を下記に説明する。
【0003】
単一メッキ準位という言葉は基質に対して外側にある作業部材の複合体準位として定義される。複合体準位は一つまたはそれ以上の金属構造物から成っている。
【0004】
基質は1種またはそれ以上のメッキ準位が上部に沈着した材料の基本層である。基質は例えば半導体のウエハ、セラミックスのブロック等であることができる。
【0005】
作業部材は少なくとも一つの基質を含む対象物として定義され、さらにいくつかの材料の層または構造機素、例えば一つまたはそれ以上のメッキ準位を基質の上に含んでいることができる。
【0006】
集積回路は半導体材料の内部および半導体の表面の上に載せられた誘電材料の内部につくられた装置が互いに連結された集合体である。半導体の内部につくることができる装置はMOSトランジスター、二極トランジスター、ダイオードおよび拡散抵抗素子を含んでいる。誘電体の内部につくることができる装置は薄膜抵抗体および蓄電器である。典型的には直径8インチの単一の珪素ウエハの上に100個以上の集積回路のダイス型(ICチップ)がつくられる。各ダイス型に使用される装置は誘電体内部につくられた伝導性の経路によって相互に連結されている。典型的には隣接した準位が誘電体の層で分離された二つまたはそれ以上の準位の伝導性の経路が相互連結部材として使用される。現在使用されている技術では伝導体および誘電体としてそれぞれアルミニウム合金および酸化珪素が典型的に使用されている。
【0007】
単一のダイス型の装置間において電気信号の伝播の遅延により集積回路の挙動が制限される。特にこれらの遅延によって集積回路が電気信号を処理する速度が制限される。伝播の遅延が大きいと集積回路が電気信号を処理できる速度が減少し伝播の遅延が小さいとこの速度が増加する。従って集積回路の製造業者は伝播の遅延を減少させる方法を探索している。
【0008】
それぞれの相互連結経路に対し、信号の伝播の遅延は遅延時間τによって規定することができる。E.H.Stevens,Intervonnect Technology,QMC,Inc.,1993年6月号参照のこと。遅延時間τは集積回路上のトランジスター間の信号の伝達に関係しているから、τに対する近似式は下記で与えられる。
【0009】
τ=RC[1+(VSAT/RISAT)]
この式においてRおよびCはそれぞれ連結経路に対する等価抵抗およびキャパシタンスであり、ISATおよびVSATはそれぞれ信号を連結経路に与えるトランジスターに対し電流の飽和が起こる際の飽和(最大)電流および電源に対するドレーン(drain to source)電位である。経路の抵抗は伝導性材料の抵抗率ρに比例する。経路のキャパシタンスは誘電材料の比誘電率Keに比例する。τの値が小さいと、VSAT/RISATの比か小さくなるのに十分な大きな電流密度の電流が連結線に流れる必要がある。従って高性能の集積回路を製作するには高い電流密度の電流を流し得るρが低い伝導体とKeが低い誘電体を使用しなければならない。
【0010】
この上記の基準に合わせるためには、最も好適な連結構造物として酸化珪素の誘電体の内部でアルミニウム合金の線の代わりにKeが低い銅の連結線がよく用いられる。「Copper Goes Mainstream:Low−k to Follow」、Semiconductor International,1997年11月号、67〜70頁参照。銅フィルムの抵抗値は1.7〜2.0μΩcmの範囲にあり、アルミニウム合金フィルムの抵抗値は3.0〜3.5μΩcmの範囲にある。
【0011】
銅の有利な性質にも拘わらず、銅は期待されるほど広くは連結材料として使用されていなかった。これは少なくとも部分的には銅のメッキを沈着させることが困難であり、さらに障壁層の材料を存在させる必要があることによるものである。障壁層の必要は、銅が珪素の結合部に拡散してゆき、基質の中につくられた半導体装置の電気的特性を変化させることに由来する。銅の層に沈着させてこのような拡散を防ぐ前に、例えば窒化チタン、窒化タンタル等からつくられた障壁層を珪素の接合部および任意の介在する層の上部に配置しなければならない。
【0012】
半導体の作業部材に銅のメッキを被覆するいくつかの方法が最近開発された。このような一つの方法は化学蒸着法(CVD)であり、この方法では気相の銅組成物を熱分解および/または反応させることにより障壁層の表面に薄い銅のフィルムを生成させる。CVD法の結果位相幾何学的な種々の形状の上に銅を均一に(conformal)被覆することができるが、全メッキ層に対して適用する場合この方法は費用がかかる。
【0013】
他の公知の方法である物理蒸着法(PVD)は、CVD法に比べ障壁層の上に比較的良好な接着性をもった銅の層を容易に沈着させることができる。しかしPVD法の欠点の一つは、微小構造物、例えば半導体の作業部材の表面にあるヴァイアス(vias)およびトレンチ(trench)を充填するのに使用した場合、不均一な(non−conformal)段階的な被覆を生じることである。例えばこのような不均一な被覆の結果、底部において、特に半導体装置のトレンチの側壁の所で銅の沈着が少なくなる。
【0014】
PVDの場合銅の層がトレンチの中に不適切に沈着してメッキ層の面の中に連結線をつくっている様子を図1に例示する。図示のように、適切な量の銅がトレンチ下方の部分の内部に沈着する前に、トレンチの上部は効果的に「狭まって潰れている(pinched off)」。その結果開いた空隙が生じ、設計されたように電気信号を運ぶメッキ線の能力が著しく損なわれる。
【0015】
銅を電着するこの方法は銅のメッキ層を沈着させる費用的に最も効果的な方法であることが見出されている。経済的に実行可能であることの他に、この沈着方法では連結構造物として機械的にも電気的にも適した実質的に均一な銅のフィルムが得られる。しかしこの方法は一般に電気伝導層に銅を被覆する場合だけに適している。この場合一般に下地になる電気伝導性の種子層を作業部材に被覆した後、電着工程を行なう。障壁層の材料に銅を電着させる方法はこれまで工業的に実行可能なものがなかった。
【0016】
本発明では(1)適切な接着性をもった均一な銅の層を障壁層に対して被覆し、(2)適切な沈着速度でこれを行なうことができ、(3)工業的に実行可能である銅の金属メッキ処理法が必要であるということが認識されている。
【0017】
(本発明の概要)
本発明では半導体の作業部材のような作業部材の銅メッキを行なう新規方法を使用する。本発明に従えば、アルカリ性の銅電解質浴を使用して種子層の上に銅を電気メッキするか、銅を直接障壁層材料の上に電気メッキするか、或いはPVDのような沈着法を使用して障壁層の上に沈着させた極端に薄い銅の種子層の強化を行なう。得られた銅層は作業部材のバイヤス、トレンチおよび他の微小構造を充填する均一な優れた銅の被膜を与える。種子層の強化に使用する場合には、得られた銅の種子層は電気化学的沈着法を用い微小構造を良好な均一性をもった銅の層で充填することができる均一な優れた銅被膜を与える。さらにこの方法で電気メッキされた銅の層はシートの抵抗が小さく、低温において容易に焼鈍される。
【0018】
上記のように本発明方法は作業部材のメッキ層をつくるのに使用される広い範囲の工程に適用することができる。作業部材は例えば処理して集積回路または他のマイクロ電子機素をつくるための半導体作業部材であることができる。種子層を強化する方法も本発明への適用性を限定することなく記載されていいる。
【0019】
表面の上に障壁層を沈着させた作業部材にメッキした連結構造物を被覆する方法も記載されている。この方法は障壁層の上に極端に薄い金属の種子層をつくる工程を含んでいる。極端に薄い種子層は約500Å以下の厚さを有し、以後の金属沈着工程のための種子層として使用できる任意の金属からつくることができる。このような金属には例えば銅、銅合金、アルミニウム、アルミニウム合金、ニッケル、ニッケル合金等が含まれる。次いで別の沈着工程において他の別の金属をその上に沈着させ、主要金属の沈着に使用するのに適した強化された種子層をつくることにより極端に薄い種子層を強化する。強化された種子層は、作業部材の内部に配置された実質的にすべての凹んだ特徴をもつ側壁のすべての点において、作業部材の外側の表面上の種子層の公称の厚さの約10%以上の厚さをもっている。
【0020】
本発明方法の特定の具体化例に従えば、銅を含む金属メッキ用のの連結構造物をつくる。このためには錯化剤を有するアルカリ性の浴を使用する電気化学的な銅沈着法を半導体の作業部材に対して行なうことにより極端に薄い層を強化する。銅の錯化剤はEDTA、EDおよび多価カルボン酸、例えばクエン酸またはその塩から成る群から選ばれる少なくとも1種の錯化剤であることができる。
【0021】
本発明においては、ブランケット・メッキ(blanket plating)、凹んだ微小構造の充填メッキ法、および種子層の強化用のメッキ法に適した種々のメッキ浴組成物も記載されている。種子層の強化を行なうために銅を電気メッキするための好適な溶液は硫酸銅、硼酸および錯化剤を含んでいる。錯化剤はED、EDTAおよび多価カルボン酸、例えばクエン酸から成る群から選ばれることが好ましい。この溶液はまたブランケット・メッキおよび凹んだ微小構造の充填メッキにも適している。
【0022】
得られた銅フィルムの抵抗率を改善するメッキ溶液も記載されている。このメッキ溶液は硫酸銅、硫酸アンモニウムおよびエチレングリコールを含んでいる。この溶液はまたブランケット・メッキおよび凹んだ微小構造の充填メッキにも適している。
【0023】
(本発明の詳細な説明)
本発明では半導体の作業部材のような作業部材の銅メッキを行なう新規方法を使用する。本発明に従えば、アルカリ性の銅電解質浴を使用して種子層の上に銅を電気メッキするか、銅を直接障壁層材料の上に電気メッキするか、或いはPVDのような沈着法を使用して障壁層の上に沈着させた極端に薄い銅の種子層の強化を行なう。さらにメッキ層を被覆する方法が記載されている。本発明方法は実質的な数の種々の異なった金属組成物に関連して使用できるが、本明細書に記載された特定の具体化例は銅を含むメッキ層の被覆に関連するものである。このためにはPVDのような沈着法を用い障壁層の上に予め沈着させた極端に薄い銅の種子層を強化するためにアルカリ性の銅の電解質浴を使用する。強化された銅の種子層は、後ででき化学的な沈着法を使用し良好な均一性をもった銅の層でトレンチおよびバイヤスを充填し得る優れた均一な銅被膜を与える。
【0024】
トレンチ5のような微小構造、即ち銅のメッキで充填すべき微小構造の断面図が図2Aに示されており、これは本発明における種子層を強化する態様を説明するのに用いられる。図示のように例えば窒化チタンまたは窒化タンタルの薄い障壁層10が半導体の装置の表面の上に、或いは図2Aに示されているように二酸化珪素のような誘電体8の上に沈着されている。障壁層10は銅が基質の中につくられた半導体の装置へ移動するのを防ぐ作用をする。使用する特定の障壁材料に依存し、種々の公知の方法、例えばCVDまたはPVDの中の任意のものを使用して障壁層を沈着させることができる。好ましくは障壁層の厚さは約100〜300Åである。
【0025】
障壁層を沈着させた後、極端に薄い銅の種子層15を障壁層10の上に沈着させる。得られた構造物を図2Bに示す。好ましくはCVDまたはPVDのような蒸着法を使用して銅の種子層15をつくる。適切な接着性と銅の被覆率を得るためには、通常比較的厚い(1000Å)銅の種子層が必要である。しかし種子層を被覆するためにPVD蒸着法を用いる場合、このような厚い種子層は幾何学的形状が小さいトレンチを塞いでしまうという問題を生じる。
【0026】
種子層の被覆に関する伝統的な考えとは異なり、例示された具体化例の銅の種子層15は極端に薄く、厚さは約50〜約500Å、好ましくは約100〜約250Å、最も好ましくは約200Åである。この極端に薄い銅の種子層はCVDまたはPVD、或いは両方の組合せで沈着させることができる。しかしPVDは、比較的良好な接着性をもって障壁層の上に銅を容易に沈着させ得るから、好適な被覆法である。従来法に使用されるような比較的厚い種子層ではなく、銅の極端に薄い種子層を沈着させることにより、トレンチが狭まって潰れることを避けることができる。
【0027】
極端に薄い種子層15を使用すると、一般にそれ自身のもつ固有の問題が導入される。これらの問題のうち最も深刻なものの一つは、このような極端に薄い層が一般に均一に障壁層10を被覆しないことである。即ち20の所におけるように側壁上において空隙または非連続的な種子層の領域が極端に薄い種子層15の中に存在することが多く、そのため後で電気化学的に沈着される銅の層が区域20の中に適切に被覆されなくなる。さらに極端に薄い種子層は21の所におけるような尖った部分を含み、これは後で電解により沈着される金属層の均一性に悪影響を与える。このような尖った部分21は他のもっと平らな区域に比べ高い割合で銅が沈着する高電位区域を生じる。従って種子層15は種子層を被覆した後に典型的に使用される伝統的な電着法に十分適しているとは云えない。
【0028】
本発明では、後の電気化学的な種子層の強化法と組み合わせると、極端に薄い種子層を使用できることが見出された。このためには半導体の作業部材を後の処理工程にかけ、ここでさらに付加的な量の銅18を極端に薄い種子層に被覆し、種子層を強化する。銅をさらに沈着させて強化した種子層を図2Cに示す。図2Cに示されているように、図2Bの空隙または非連続的な区域20は充填され、実質的にすべての障壁層10は銅で被覆されている。
【0029】
側壁の階段部分の被覆率、即ち側壁の底部22の所での種子層の厚さ対作業部材の外側に配置された側23の所における種子層の最低の厚さの比が少なくとも10%に達するまで種子層の強化工程を継続することが好ましい。さらに好ましくは側壁の階段部分の被覆率は少なくとも約20%である。このような側壁の階段部分の被覆率は半導体の作業部材の凹んだ構造の実質的にすべての部分に存在する。しかし半導体の作業部材の内部に分布している或る種の凹んだ構造ではこれらの側壁の階段部分の被覆率に達しないこともある。例えば半導体の作業部材の周辺の縁の所にあるこのような構造はこれらの階段部分の被覆率に達しないことがある。同様に或る種の凹んだ構造の所にある欠陥または不純物が所望の被覆率に達するのを妨げることもある。作業部材の外側の所における強化された種子層の公称の厚さは500〜1600Åの範囲であることが好ましい。
【0030】
本発明方法の具体化例は銅のメッキに関連して説明されているが、全体的な沈着を行なう前に極端に薄い種子層を強化する基本的原理は電気メッキを行ない得る他の金属または合金にも適用することができる。このような金属には、鉄、ニッケル、コバルト、亜鉛、銅−亜鉛、ニッケル−鉄、コバルト−鉄等が含まれる。
【0031】
極端に薄い銅の種子層を強化するのに適した装置25の模式図を図3に示す。この装置はまたブランケット・メッキ層および/または凹んだ微小構造を完全に充填するためのメッキを被覆するのにも適している。図示のように、半導体ウエハ30のような半導体の作業部材を電気メッキ溶液の浴35の中に面を下向きにして配置する。電気メッキ槽の陰極としてウエハ30をメッキ用の電源45に接触させるために1個またはそれ以上の接点40が備えられている。陽極50は浴35の中に配置され、メッキ用の電源45に連結されている。陽極50とウエハ/陰極30の間に拡散器55を配置することが好ましい。ウエハ30は強化工程中軸60の周りに回転させることができる。陽極50にはその後側に誘電遮蔽65が備えられ、これはメッキ浴の液の流入する方向に面している。
【0032】
上記のように、本発明の或る種の態様は新規にして有用なメッキ溶液に関する。これらの溶液はブランケット・メッキ、凹んだ微小構造の完全な充填、種子層の強化等に使用することができる。種子層を強化するための好適な電解質浴溶液は銅イオンが錯化剤と錯化したアルカリ性の銅浴である。メッキ浴の種々の成分に対する好適な組成および濃度範囲には下記の通りである。
【0033】
1.硫酸銅:0.03〜0.25M(好ましくは0.04M);
2.錯化剤:錯体対金属の比が1〜4、好ましくは2;
3.硼酸:0.01〜0.5M(好ましくは0.05M);
4.pH:5〜13、好ましくは9.5。
【0034】
好適な銅イオン源は硫酸銅(CuSO4)である。硫酸銅の浴中の濃度は好ましくは0.03〜0.25Mの範囲、さらに好ましくは約0.1Mである。
【0035】
本発明に使用するのに適した錯化剤は銅イオンと安定な錯体をつくり、水酸化銅の沈澱を防ぐ。エチレンジアミン四酢酸(EDTA)、エチレンジアミン(ED)、クエン酸、およびその塩は特に適した銅の錯化剤であることが見出された。浴中の錯化剤対硫酸銅のモル比は好ましくは1〜4の範囲、さらに好ましくは約2である。このような錯化剤は単独で、互いに組み合わせて、また1種またはそれ以上の他の錯化剤と組み合わせて使用することができる。
【0036】
電解質浴はpHが少なくとも9.0に保たれていることが好ましい。所望の9.0またはそれ以上の値にpHを調節または保持するためには、水酸化カリウム、水酸化アンモニウム、水酸化テトラメチルアンモニウム、または水酸化ナトリウムが使用される。クエン酸またはED浴に対する好適なpHは約9.5であり、EDTA浴に対する好適なpHは約12.5である。上記のように、錯化剤は高pH値において銅が沈澱するのを防ぐ助けをする。
【0037】
アルカリ性の銅浴にさらに他の成分を加えることができる。例えばクエン酸またはEDを錯化剤として使用した場合、硼酸(H3BO3)はpHを9.5に保持するる助けとなり、錯化剤としてEDTAを含む電解質浴に加えた場合、光沢の高い銅の沈着物を与える。硼酸を加える場合、浴中の濃度は0.01〜0.5Mの範囲であることが好ましい。
【0038】
一般に浴の温度は20〜35℃の範囲であることができ、25℃が好適である。銅を電解により沈着させて銅の種子層を強化するための電流密度は1〜5ミリアンペア/cm2であることができ、銅の種子層を強化するメッキ時間は約1〜約5分間で十分である。メッキの波形は例えば周期が2ミリ秒で使用率が50%の前向きの周期パルスであることができる。
【0039】
アミンを含まない酸の錯化剤、例えばクエン酸のような多価カルボン酸またはその塩はEDTAまたはEDを使用するよりも好適である。EDTAおよびEDはアミン基を含んでいる。これらのアミン基はウエハを洗滌して乾燥した後もしばしば半導体作業部材の表面に残留する。その後の処理、特に写真平板処理のような処理は、これらのアミン基が存在することによって生じる反応のために妨害を受ける。例えばアミン基はフォトレジスト材料の露光および/または硬化に伴う化学反応を妨害する。従って電着工程の後で写真平板法を行なうような処理ではアミンを含まない錯化剤が特に適している。
【0040】
クエン酸のような多価カルボン酸を使用するさらに他の利点は、銅をメッキする電位の大きさがEDTAを含む浴中で銅をメッキする電位の大きさよりも大きいことによって生じる。このことは図4Aおよび4Bに例示されており、ここで図4Aはクエン酸に対する電流−電位曲線であり、図4BはEDTA浴に対する電流−電位曲線を示す。電気メッキは対応する電流が急激に増加する電圧の所で起こる。このメッキ電圧は電着電位とも呼ばれ、錯化剤としてクエン酸を用いる浴に対しては図4Aに示されるように−1.25ボルトであり、錯化剤としてEDTAを用いる浴では図4Bに示されるように約−1.0ボルトである。電流のピーク(クエン酸を含む浴では70、70’、EDTAを含む浴では72、72’)は限界電流であり、これはメッキ溶液中の銅イオンの質量輸送および濃度によって主として決定される。図示のように、電流および特定のメッキ電圧の大きさは基質材料に僅かに依存している。異なった基質に対する結果は図4Aおよび4Bに図示されており、ここで70および72は銅の基質材料に対する曲線であり、70’および72’は酸化銅を被覆した銅から成る銅基質材料に対する曲線である。同じ電解質の中で酸化物で被覆された銅では余分のピークが生じていることが認められる。これらのピークはアルカリ性で電気化学的に銅が沈着する前に酸化銅が電気化学的に還元されて銅になることに関連している。
【0041】
アルカリ性の浴中で高いメッキ電位で電着された銅の層は、酸性の浴中で低いメッキ電位で電着された銅の層に比べ、下地の障壁層に対する接着性が良いと考えられている。銅が障壁層に接着するためには、銅イオンは障壁層の表面において薄い酸化された或いは汚染された層を透過するのに十分なエネルギーをもって障壁層の表面に衝突しなければならない。従って大きな大きさをもったメッキ電位で電着した銅の層は、小さな大きさのメッキ電位を用いてメッキされた層に比べ、メッキ工程中露出した障壁層に対し良く接着する。この因子は、PVDの銅と電気化学的に沈着させられた銅との間の銅間の化学結合と組み合わされ、優れた電気的性質並びに障壁接着性をもった強化された種子層を与える。このような特性はブランケット・メッキ、完全な充填メッキ、パターン・メッキ等にも望ましいことである。
【0042】
本発明においては沈着した銅フィルムの抵抗率はメッキ浴溶液の抵抗率と直接関連していることが見出された。従って溶液の抵抗率を下げるのを助ける添加剤は沈着したフィルムの抵抗率をそれに応じて低下させる。
【0043】
実験の結果は硫酸アンモニウムを添加するとメッキ浴溶液の抵抗率が、従って沈着したフィルムの抵抗値が著しく下がることを示している。異なった量の硫酸アンモニウムに対して得られたシートの抵抗を図4Cで比較する。図から判るように、高温で焼鈍を行なったものまたは行なわないもののいずれに対しても、硫酸アンモニウムを含まない浴でシートの最も大きな抵抗が得られた。痕跡量の硫酸アンモニウムを浴の中に加えた浴のpHを調節するために水酸化アンモニウムを使用した場合、シートの抵抗は76から23に低下した。硫酸アンモニウムの濃度を0.1Mから0.5Mに増加させると、それに対応してシートの抵抗は連続的に減少した。
【0044】
硫酸アンモニウムは沈着した銅の層のシートの抵抗を減少する助けとなるが、得られた銅フィルムの均一性を減少させることが実験の結果示された。しかし硫酸アンモニウム含有溶液にエチレングリコールを加えると、得られた沈着物の均一性は実質的に増加する。図4Dはエチレングリコールの濃度と硫酸アンモニウムを0.2M含むメッキ溶液の伝導度との間の関係を示す。
【0045】
硫酸アンモニウムを含むメッキ浴の種々の成分濃度と好適な組成および濃度範囲は次の通りである。
【0046】
1.硫酸銅:0.03〜0.5M(好ましくは0.25M);
2.錯化剤:錯体対金属の比は1〜4、好ましくは2;
3.硫酸アンモニウム:0.01〜0.5M、好ましくは0.3M;および
4.硼酸:0.00〜0.5M、好ましくは0.2M。
上記のように、このような浴の組成はブランケット・メッキ、パターン・メッキ、完全充填メッキ、および種子層を強化するのに使用することができる。
【0047】
再び本発明の特定の種子層を強化する態様を参照すれば、図2Cの強化された種子層は後で電気化学的に銅を沈着させるのに適している。このような後で行なう銅の沈着は獅子層を強化するのに用いられる装置の内部でアルカリ性の浴中で行なうことができる。その後で沈着した銅の抵抗率を低下させる助けとなる低温焼鈍処理を行なうことができる。このような低温における焼鈍工程は好ましくは約250℃以下の温度で、さらに好ましくは約100℃以下の温度で行なわれる。低K−誘電材料を使用して銅の構造物を絶縁する場合には、焼鈍温度の上限は誘電材料の劣化温度よりも低い温度に選ばなければならない。
【0048】
上記のアルカリ性の浴組成物は全体的な電気化学的沈着工程に使用することができるが、酸性の環境下において後で銅を沈着させることができ、この場合メッキ速度はアルカリ性のメッキ浴に伴う対応する速度よりも実質的に速い。そのためには半導体の作業部材を脱イオン水で十分洗滌する装置の中に移送し、次いでメッキ浴が酸性である図3の装置と同様な装置に移す。例えば一つの適当な銅浴は170g/リットルのH2SO4、17g/リットルの銅および70ppmの塩素イオン、並びに有機性の添加剤を含んでいる。有機性の添加剤はメッキ反応に対し絶対に必要なものではない。むしろ有機添加剤は所望のフィルム特性をつくり、ウエハ表面の凹んだ構造をより良く充填するのに使用することができる。有機添加剤は平滑剤、光沢剤、湿潤剤および靭性強化剤を含んでいる。トレンチ5が電気化学的に沈着した銅の付加的な層22で実質的に充填されるのはこの沈着工程の間である。得られた充填された断面を図2Dに示す。この方法で充填した後、障壁層およびトレンチの上に沈着した銅の層を任意の適当な方法で除去し、図2Eに示されるように銅のメッキとそれに付属した障壁材料をもつトレンチ5だけを残す。
【0049】
銅の種子層を強化するためにアルカリ性の電解質浴を使用すると、種子層の強化を行なわないで銅浴を使用する場合に比べ特に有利である。PVDの銅の種子層を沈着させた後、典型的には銅の種子層を酸素を含む雰囲気に露出する。酸素は容易に銅を酸化銅に変える。種子層を酸素含有雰囲気に露出した後、酸性の銅浴を使用して銅を種子層の上にメッキすると、酸性の銅浴は生成した酸化銅を溶解し、種子層に空隙が生じ、種子層の上に沈着した銅の層の均一性が悪くなる。本発明の具体化例に従ってアルカリ性の銅浴を使用すると、金属銅に対する種子層の表面の所で酸化銅を実質的に減少させることによりこの問題を避けることができる。アルカリ性の銅浴の他の利点は、メッキされた銅が酸性の銅浴からメッキされたものに比べ障壁層に対し遥かに良好な接着性を有していることである。本発明の種子層強化態様の他の利点は下記の実施例から判るであろう。
【0050】
実施例1
種子層の強化を行なった場合および行なわなかった場合における酸性の銅メッキの比較
200ÅのPVDによる銅の種子層を用い半導体ウエハ1、2および3をそれぞれ被覆した。本発明に従いウエハ1および2はそれぞれクエン酸およびEDTA浴から強化された種子層をもっていた。浴の組成は次の通りである。
【0051】
ウエハ1に対する浴:0.1MのCuSO4+0.2Mのクエン酸+0.05MのH3BO3を脱イオン水中に含む。pH9.5、温度25℃。
【0052】
ウエハ2に対する浴:0.1MのCuSO4+0.2MのEDTA+0.05MのH3BO3を脱イオン水中に含む。pH12.5、温度25℃。
【0053】
ウエハ3に対しては種子層の強化を行なわなかった。
【0054】
この3枚のウエハに対し次に同一条件下において酸性の銅浴から1.5μの銅層をメッキした。公称の厚さ1.5μの銅の層が沈着した後、シートの抵抗の測定から推測した3枚のウエハの均一性を下記の表で比較する。
【0055】
【表1】
Figure 0004642229
【0056】
上記表1の結果から判るように、本発明方法により種子層の強化を行なうと、種子層の強化を行なわなかった場合(不均一性46%)に比べ優れた均一性が得られる(不均一性6〜7%)。このことは1.5μの銅を電気メッキで沈着させた後における視察によるウエハの観測の結果と一致している。ウエハのこのような視察による検査によれば、種子層の強化を行なわなかった場合、ウエハ上においてウエハ電極の接点の所に欠陥が存在することが明らかになった。
【0057】
図5、6Aおよび6BはSEM(走査電子顕微鏡)を用いて撮った写真である。図5においてはトレンチ85のような微小構造を含む半導体ウエハの表面上に極端に薄い種子層が沈着している。図示のように、トレンチの下隅部に空隙の領域が存在している。図6Aにおいてはクエン酸を錯化剤とした浴に中で上記方法により種子層が強化された。この強化により後で電気化学的に銅をメッキして沈着させるのに非常に適した均一な銅の種子層が得られた。
【0058】
図6Bは錯化剤としてEDTAを含む浴中で強化した種子層を示す。得られた種子層はトレンチの側壁から尖った部分として突き出した大きな粒径を示している。これらの側壁の粒子の突出部が存在すると、後で電気化学的な沈着によりトレンチを充填することが困難になる。何故ならこのような突出部によって局所的にメッキ速度が高くなり、それによって後で行なう電気化学的な沈着が不均一になるからである。この効果は寸法の小さい凹んだ微小構造の場合に特に顕著である。従って小さい微小構造を充填する場合にはクエン酸のような錯化剤の方が好適である。クエン酸を含む銅浴に対するのと同等な結果が錯化剤としてEDTAを用いた場合にも得られた。
【0059】
図7は上記の方法を適用するのに適した半導体製造ライン90の一部を模式的に示している。製造ライン90は蒸着装置または装置の組95および銅の電気化学的な沈着装置または装置の組100を含んでいる。装置/装置の組95と100との間のウエハの移送は手で行なうか、或いは自動移送機構105を用いて行なうことができる。好ましくは自動移送機構105により容器の中に入れるかまたは同様な環境で作業部材を移送する。別法として移送機構105は該装置/装置の組を連結しているきれいな雰囲気の中で個別的に或いは開いた運搬具の中に入れてウエハを移送することができる。
【0060】
操作する場合、蒸着装置/装置の組95を使用して極端に薄い銅の種子層をライン90で処理される半導体作業部材の少なくとも一部に被覆する。これはPVD被覆法を用いて行なうことが好ましい。次に極端に薄い種子層を被覆した作業部材に対し例えば処理ステーション110の所で電気化学的な種子層の強化を行なう。処理ステーション110は図3に記載された方法で構成することができる。強化が完了した後、作業部材に対し完全な電気化学的沈着による充填操作を行ない、この場合銅のメッキを所望の連結されたメッキの厚さになるまで被覆する。この後の工程はステーション110で行なうことができるが、好ましくは他の処理ステーション115で行ない、ここで酸性のメッキ浴を存在させて銅のメッキを沈着させる。ステーション115へ移送する前に、作業部材をステーション112において脱イオン水で洗滌することが好ましい。ステーション110、112および115の間のウエハの移送はウエハ運搬システム120によって自動化することができる。電気化学的沈着装置の組100は例えば米国マサチューセッツ州KalispellのSemitool,Inc.製のLT210TM型またはEquinoxTM型のメッキ装置を用いて実装することができる。
【0061】
本発明の基本的な概念から逸脱することなく上記システムの種々の変形を行なうことができる。上記においては一つまたはそれ以上の特定の具体化例を参照して本発明を詳細に説明したが、特許請求の範囲に記載された本発明の精神および範囲を逸脱することなく多くの変形を行ない得ることは当業界の専門家には明らかであろう。
【図面の簡単な説明】
【図1】 完全にPVD法による銅によってつくられた連結線の断面図。
【図2A〜2E】 本発明の一具体化例に従って被覆された際の種々の材料の層を示す半導体作業部材の断面図。
【図3】 極端に薄い種子層を強化するのに適した装置の模式図。
【図4A】 錯化剤としてクエン酸のような多価カルボン酸を使用したメッキ溶液の電流−電位曲線を示すグラフ。
【図4B】 錯化剤としてEDTA、即ちアミン含有メッキ溶液を使用したメッキ溶液の電流−電位曲線を示すグラフ。
【図4C】 硫酸アンモニウムを含みまた含まない浴の溶液から沈着させた銅フィルムに対する焼鈍温度によるシートの抵抗の変化。
【図4D】 硫酸アンモニウムを含みまた含まない照合溶液中におけるエチレングリコールの濃度の関数としてメッキ溶液の伝導率を示すグラフ。
【図5】 極端に薄い種子層の走査電子顕微鏡写真。
【図6A】 クエン酸浴中で強化した極端に薄い種子層を示す走査電子顕微鏡写真。
【図6B】 EDTA浴中で強化した極端に薄い種子層を示す走査電子顕微鏡写真。
【図7】 本発明の種子層強化工程を実現するのに適した半導体製造ラインの一部の模式図。

Claims (8)

  1. 側壁によって規定される微小凹構造を有するマイクロ電子基板に金属を被覆する方法であって、ここで、側壁はその上に沈着している障壁層を含んでおり、
    (a)第1の金属沈着工程により障壁層上に金属種子層を形成する工程、ここで、前記金属種子層は500Å以下の厚さを有する、
    (b)第1の金属沈着工程と異なる第2の金属沈着工程により追加の金属金属種子層および側壁上の金属種子層で覆われていない障壁層に沈着させて金属種子層を強化して以後の電気メッキに適するようにする工程、ここで、強化された金属種子層は微小凹構造の側壁上のすべての点において、マイクロ電子基板表面上の強化された金属種子層の厚さの10%以上である厚さを有し、第2の金属沈着工程は電気化学沈着工程である、
    (c)第2の金属沈着工程と異なる工程パラメーターを有する第3の金属沈着工程により強化された金属種子層上に電気メッキ層を施す工程
    を含むことを特徴とする方法。
  2. 工程(b)における追加の金属が銅である請求項1に記載の方法。
  3. 工程(b)の電気化学沈着工程がアルカリ性の浴の中で行われる請求項1に記載の方法。
  4. アルカリ浴が金属イオンおよび金属イオンを錯化する効果をもつ薬剤を含んでいる請求項3に記載の方法。
  5. 金属イオンを錯化する効果をもつ薬剤が、四酢酸エチレンジアミン、エチレンジアミンおよび多価カルボン酸から選ばれる1以上の錯化剤を含む請求項4に記載の方法。
  6. 第3の金属沈着工程が、酸性の電解質溶液で、少なくとも微小凹構造を充填するのに必要な厚さまで行われる請求項3に記載の方法。
  7. 工程(a)で形成される金属種子層が50〜500Åの厚さを有する請求項1に記載の方法。
  8. 側壁によって規定される微小凹構造を有するマイクロ電子基板に金属を被覆する装置であって、ここで、側壁はその上に沈着している障壁層を含んでおり、
    (a)第1の金属沈着工程により障壁層上に金属種子層を形成するための手段、ここで前記金属種子層は500Å以下の厚さを有する、
    (b)第1の金属沈着工程と異なる第2の金属沈着工程により追加の金属を金属種子層および側壁上の金属種子層で覆われていない障壁層に沈着させて金属種子層を強化して以後の電気メッキに適するようにするための手段、ここで、強化された金属種子層は微小凹構造の側壁上のすべての点において、マイクロ電子基板表面上の強化された金属種子層の厚さの10%以上である厚さを有し、第2の金属沈着工程は電気化学沈着工程である、
    (c)第2の金属沈着工程と異なる工程パラメーターを有する第3の金属沈着工程により強化された金属種子層上に電気メッキ層を施すための手段
    を含む装置。
JP2000536908A 1998-03-20 1999-03-22 半導体作業部材の上に銅を電解により沈着させる装置および方法 Expired - Fee Related JP4642229B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/045,245 US6197181B1 (en) 1998-03-20 1998-03-20 Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US09/045,245 1998-03-20
US8567598P 1998-05-15 1998-05-15
US60/085,675 1998-05-15
PCT/US1999/006306 WO1999047731A1 (en) 1998-03-20 1999-03-22 Apparatus and method for electrolytically depositing copper on a semiconductor workpiece

Publications (3)

Publication Number Publication Date
JP2002506927A JP2002506927A (ja) 2002-03-05
JP2002506927A5 JP2002506927A5 (ja) 2006-08-10
JP4642229B2 true JP4642229B2 (ja) 2011-03-02

Family

ID=26722541

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000536908A Expired - Fee Related JP4642229B2 (ja) 1998-03-20 1999-03-22 半導体作業部材の上に銅を電解により沈着させる装置および方法

Country Status (7)

Country Link
US (6) US6277263B1 (ja)
EP (1) EP1064417A4 (ja)
JP (1) JP4642229B2 (ja)
KR (1) KR100420157B1 (ja)
CN (1) CN1246504C (ja)
TW (2) TW593731B (ja)
WO (1) WO1999047731A1 (ja)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7126195B1 (en) 1996-06-03 2006-10-24 Micron Technology, Inc. Method for forming a metallization layer
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
WO1999057342A1 (fr) 1998-04-30 1999-11-11 Ebara Corporation Procede et dispositif de placage d'un substrat
US7338908B1 (en) 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
CA2359816C (en) * 1999-01-06 2010-08-03 Genenews Inc. Method for the detection of gene transcripts in blood and uses thereof
US6221763B1 (en) * 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
CN1217034C (zh) 1999-04-13 2005-08-31 塞米用具公司 具有改进的处理流体流的处理腔的工件处理装置
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US6159853A (en) * 1999-08-04 2000-12-12 Industrial Technology Research Institute Method for using ultrasound for assisting forming conductive layers on semiconductor devices
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
JP3498306B2 (ja) * 1999-09-16 2004-02-16 石原薬品株式会社 ボイドフリー銅メッキ方法
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6440849B1 (en) * 1999-10-18 2002-08-27 Agere Systems Guardian Corp. Microstructure control of copper interconnects
EP1111096A3 (en) 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
US6650957B1 (en) * 2000-01-03 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of deposition process
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
EP1139396A3 (en) * 2000-03-31 2003-08-27 Texas Instruments Incorporated Fixture and method for uniform electroless metal deposition on integrated circuit bond pads
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
EP1337693A2 (en) 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6660153B2 (en) * 2000-10-20 2003-12-09 Shipley Company, L.L.C. Seed layer repair bath
US20020090484A1 (en) * 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
US6660154B2 (en) 2000-10-25 2003-12-09 Shipley Company, L.L.C. Seed layer
US6824665B2 (en) * 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
US6797146B2 (en) 2000-11-02 2004-09-28 Shipley Company, L.L.C. Seed layer repair
ATE466975T1 (de) 2000-12-13 2010-05-15 Imec Verfahren zur herstellung eines elektroplattierungsbad und zugehöriges kupfer- plattierungsverfahren
WO2002063069A2 (en) * 2001-01-12 2002-08-15 University Of Rochester Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
JP2002289559A (ja) * 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
KR20020092444A (ko) * 2001-02-23 2002-12-11 가부시키 가이샤 에바라 세이사꾸쇼 구리-도금 용액, 도금 방법 및 도금 장치
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US6730857B2 (en) 2001-03-13 2004-05-04 International Business Machines Corporation Structure having laser ablated features and method of fabricating
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
TW529090B (en) 2001-04-04 2003-04-21 Koninkl Philips Electronics Nv Back-end metallisation process
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
EP1453991A4 (en) * 2001-12-13 2007-12-05 Ebara Corp APPARATUS AND METHOD FOR ELECTROLYTIC PROCESSING
WO2003063067A1 (en) * 2002-01-24 2003-07-31 Chatterbox Systems, Inc. Method and system for locating positions in printed texts and delivering multimedia information
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
WO2003085713A1 (en) * 2002-04-03 2003-10-16 Applied Materials, Inc. Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7025866B2 (en) 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
US7902062B2 (en) 2002-11-23 2011-03-08 Infineon Technologies Ag Electrodepositing a metal in integrated circuit applications
DE10254815A1 (de) * 2002-11-23 2004-06-09 Infineon Technologies Ag Verfahren zum galvanischen Aufbringen eines Metalls, insbesondere von Kupfer, Verwendung dieses Verfahrens und integrierte Schaltungsanordnung
US7147767B2 (en) * 2002-12-16 2006-12-12 3M Innovative Properties Company Plating solutions for electrochemical or chemical deposition of copper interconnects and methods therefor
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US7198705B2 (en) * 2002-12-19 2007-04-03 Texas Instruments Incorporated Plating-rinse-plating process for fabricating copper interconnects
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US20040253386A1 (en) * 2003-06-13 2004-12-16 Sarojini Deevi Preparation of intermetallics by metallo-organic decomposition
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US20050092616A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Baths, methods, and tools for superconformal deposition of conductive materials other than copper
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
WO2006080148A1 (ja) 2005-01-25 2006-08-03 Nippon Mining & Metals Co., Ltd. 特定骨格を有する化合物を添加剤として含む銅電解液並びにそれにより製造される電解銅箔
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
FR2890983B1 (fr) * 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
FR2890984B1 (fr) * 2005-09-20 2009-03-27 Alchimer Sa Procede d'electrodeposition destine au revetement d'une surface d'un substrat par un metal.
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US7579274B2 (en) * 2006-02-21 2009-08-25 Alchimer Method and compositions for direct copper plating and filing to form interconnects in the fabrication of semiconductor devices
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20080264774A1 (en) * 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
JP5358145B2 (ja) * 2007-09-28 2013-12-04 富士フイルム株式会社 導電性材料の製造方法及び導電性材料の製造装置
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US7830592B1 (en) * 2007-11-30 2010-11-09 Sipix Imaging, Inc. Display devices having micro-reflectors
US8237892B1 (en) 2007-11-30 2012-08-07 Sipix Imaging, Inc. Display device with a brightness enhancement structure
US8118988B2 (en) * 2008-01-31 2012-02-21 Eci Technology, Inc. Analysis of copper ion and complexing agent in copper plating baths
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8437069B2 (en) * 2008-03-11 2013-05-07 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
WO2009114361A1 (en) 2008-03-11 2009-09-17 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8107254B2 (en) * 2008-11-20 2012-01-31 International Business Machines Corporation Integrating capacitors into vias of printed circuit boards
US8441414B2 (en) * 2008-12-05 2013-05-14 Sipix Imaging, Inc. Luminance enhancement structure with Moiré reducing design
US9025234B2 (en) * 2009-01-22 2015-05-05 E Ink California, Llc Luminance enhancement structure with varying pitches
CN102395712A (zh) 2009-02-12 2012-03-28 技术研究及发展基金有限公司 电镀铜的方法
US8120836B2 (en) * 2009-03-09 2012-02-21 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8714780B2 (en) * 2009-04-22 2014-05-06 Sipix Imaging, Inc. Display devices with grooved luminance enhancement film
JP5388191B2 (ja) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 貫通シリコンビアを有するめっき物及びその形成方法
US8797633B1 (en) 2009-07-23 2014-08-05 Sipix Imaging, Inc. Display device assembly and manufacture thereof
US8456589B1 (en) 2009-07-27 2013-06-04 Sipix Imaging, Inc. Display device assembly
US8597461B2 (en) 2009-09-02 2013-12-03 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
US8242384B2 (en) 2009-09-30 2012-08-14 International Business Machines Corporation Through hole-vias in multi-layer printed circuit boards
JP2011097038A (ja) * 2009-10-02 2011-05-12 Ibiden Co Ltd セラミック配線基板およびその製造方法
US8432027B2 (en) * 2009-11-11 2013-04-30 International Business Machines Corporation Integrated circuit die stacks with rotationally symmetric vias
US8258619B2 (en) * 2009-11-12 2012-09-04 International Business Machines Corporation Integrated circuit die stacks with translationally compatible vias
US8310841B2 (en) 2009-11-12 2012-11-13 International Business Machines Corporation Integrated circuit die stacks having initially identical dies personalized with switches and methods of making the same
US8315068B2 (en) * 2009-11-12 2012-11-20 International Business Machines Corporation Integrated circuit die stacks having initially identical dies personalized with fuses and methods of manufacturing the same
US9646947B2 (en) * 2009-12-22 2017-05-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Integrated circuit with inductive bond wires
US8168540B1 (en) * 2009-12-29 2012-05-01 Novellus Systems, Inc. Methods and apparatus for depositing copper on tungsten
US20110192462A1 (en) * 2010-01-03 2011-08-11 Alchimer, S.A. Solar cells
US20110162701A1 (en) * 2010-01-03 2011-07-07 Claudio Truzzi Photovoltaic Cells
JP5750626B2 (ja) * 2010-03-19 2015-07-22 石原ケミカル株式会社 電気銅メッキ方法
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US8496790B2 (en) * 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
CN102251269B (zh) * 2011-08-19 2013-07-03 银都餐饮设备股份有限公司 电化学表面处理设备
JP6021441B2 (ja) 2012-05-25 2016-11-09 ラピスセミコンダクタ株式会社 半導体装置
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
TWI653367B (zh) * 2013-06-11 2019-03-11 美商應用材料股份有限公司 具有高薄片電阻之工件上的電化學沉積
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US20150197870A1 (en) * 2014-01-15 2015-07-16 The Board Of Trustees Of The Leland Stanford Junior University Method for Plating Fine Grain Copper Deposit on Metal Substrate
KR102041646B1 (ko) * 2014-05-13 2019-11-07 삼성전기주식회사 전극 구조체
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
US10648096B2 (en) * 2014-12-12 2020-05-12 Infineon Technologies Ag Electrolyte, method of forming a copper layer and method of forming a chip
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
DE102016113641A1 (de) * 2016-07-25 2018-01-25 Christian-Albrechts-Universität Zu Kiel Aluminium-Kupfer-Konnektor aufweisend eine Heterostruktur und Verfahren zur Herstellung der Heterostruktur
JP6272431B2 (ja) * 2016-10-04 2018-01-31 ラピスセミコンダクタ株式会社 半導体装置およびその製造方法
US10930511B2 (en) * 2018-03-30 2021-02-23 Lam Research Corporation Copper electrodeposition sequence for the filling of cobalt lined features
JP6926294B2 (ja) * 2018-11-29 2021-08-25 ラピスセミコンダクタ株式会社 半導体装置の製造方法
CN111315146A (zh) * 2020-02-27 2020-06-19 清华大学 柔性复合电路的制造方法
CN113363152A (zh) * 2020-03-06 2021-09-07 长鑫存储技术有限公司 半导体结构及其制作方法
CN115498050B (zh) * 2022-09-23 2024-03-29 通威太阳能(成都)有限公司 一种太阳电池及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04120290A (ja) * 1990-02-26 1992-04-21 Ishihara Chem Co Ltd 電気銅めっき液
JPH06349952A (ja) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd 配線形成方法

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US2411674A (en) * 1943-03-04 1946-11-26 Little Inc A Art of electrodeposition of copper
NL81606C (ja) * 1952-07-05
NL291575A (ja) 1962-04-16
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3328273A (en) 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3495940A (en) * 1967-09-28 1970-02-17 Celanese Corp Production of high temperature resistant continuous filaments
US3664933A (en) * 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3684666A (en) * 1970-03-19 1972-08-15 Pfizer & Co C Copper electroplating in a citric acid bath
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (fr) * 1971-11-15 1973-05-14 Monsanto Co Compositions et procedes electrochimiques
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (de) * 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Wäßriges Bad zur galvanischen Abscheidung von Gold und Goldlegierungen
US4022679A (en) * 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US4001094A (en) * 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US4000046A (en) * 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
JPS5216433A (en) 1975-07-30 1977-02-07 Furukawa Electric Co Ltd Method of producing very thin copper foil
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4279948A (en) 1978-05-25 1981-07-21 Macdermid Incorporated Electroless copper deposition solution using a hypophosphite reducing agent
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
SU921124A1 (ru) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Способ металлизации отверстий печатных плат
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
DE47132T1 (de) * 1980-09-02 1983-01-20 Heraeus Quarzschmelze Gmbh, 6450 Hanau Verfahren und geraet zum ueberfuehren von gegenstaenden zwischen traggliedern.
US4323433A (en) * 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
JPS57198315U (ja) * 1981-06-12 1982-12-16
JPS584382A (ja) * 1981-06-26 1983-01-11 ファナック株式会社 工業用ロボツトの制御方式
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) * 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
JPS58149189A (ja) * 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 工業用ロボツトの旋回昇降機構
US4440597A (en) * 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) * 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4585539A (en) * 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4500394A (en) * 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4673469A (en) * 1984-06-08 1987-06-16 Mcgean-Rohco, Inc. Method of plating plastics
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (de) * 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg Beschichtungskabine zum ueberziehen der oberflaeche von werkstuecken mit beschichtungspulver
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4624749A (en) 1985-09-03 1986-11-25 Harris Corporation Electrodeposition of submicrometer metallic interconnect for integrated circuits
FR2587915B1 (fr) * 1985-09-27 1987-11-27 Omya Sa Dispositif pour la mise en contact de fluides se presentant sous la forme de phases differentes
JPH088723B2 (ja) * 1985-11-02 1996-01-29 日立機電工業株式会社 リニアモ−タを用いた搬送装置
BR8607061A (pt) * 1985-12-24 1988-02-23 Gould Inc Processo e aparelho para eletrogalvanizacao de folha de cobre
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (de) * 1988-05-31 1989-12-07 Mannesmann Ag Portal eines industrieroboters
US4959278A (en) * 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US4990224A (en) 1988-12-21 1991-02-05 International Business Machines Corporation Copper plating bath and process for difficult to plate metals
US5021129A (en) 1989-09-25 1991-06-04 International Business Machines Corporation Multilayer structures of different electroactive materials and methods of fabrication thereof
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
CA2035970C (en) * 1990-02-09 1999-06-01 Eiji Hirai Process for surface treating titanium-containing metallic material
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JP2524436B2 (ja) * 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
JP2768390B2 (ja) 1990-12-11 1998-06-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 無電解金属付着のために基体をコンディショニングする方法
DE69220519T2 (de) * 1991-03-04 1998-02-19 Toda Kogyo Corp Verfahren zur Plattierung eines Verbundmagneten sowie Verbundmagnet mit einem Metallüberzug
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
JPH0544075A (ja) * 1991-08-15 1993-02-23 Nippon Riironaale Kk 無電解銅めつき代替銅ストライクめつき方法
US5209817A (en) * 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JP3057186B2 (ja) 1991-11-22 2000-06-26 横河電機株式会社 波形解析装置
US5252196A (en) * 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5301700A (en) * 1992-03-05 1994-04-12 Tokyo Electron Limited Washing system
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
DE69205573T2 (de) * 1992-08-04 1996-06-13 Ibm Fertigungsstrasse Architektur mit vollautomatisierten und rechnergesteuerten Fördereinrichtungen geeignet für abdichtbaren tragbaren unter Druck stehenden Behältern.
US5372848A (en) * 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US5284548A (en) 1993-03-03 1994-02-08 Microelectronics And Computer Technology Corporation Process for producing electrical circuits with precision surface features
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5409587A (en) 1993-09-16 1995-04-25 Micron Technology, Inc. Sputtering with collinator cleaning within the sputtering chamber
ATE163453T1 (de) * 1993-11-16 1998-03-15 Scapa Group Plc Papiermaschinenbespannung
JPH07193214A (ja) * 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (de) * 1994-03-21 1994-06-30 Helmut Lehmer GmbH Stahl- und Maschinenbau, 92436 Bruck Verriegelungsvorrichtung
JPH07283077A (ja) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd 薄膜コンデンサ
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
JP3621151B2 (ja) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5472592A (en) * 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5512319A (en) * 1994-08-22 1996-04-30 Basf Corporation Polyurethane foam composite
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5625233A (en) * 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
US5482891A (en) 1995-03-17 1996-01-09 Motorola, Inc. VCSEL with an intergrated heat sink and method of making
US5549808A (en) * 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5741435A (en) * 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
TW340139B (en) * 1995-09-16 1998-09-11 Moon Sung-Soo Process for plating palladium or palladium alloy onto iron-nickel alloy substrate
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (ko) * 1995-11-10 1999-04-15 김광호 반도체 패키지 장치 및 몰딩물질에 의해 발생하는 기생용량의 산출방법
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
WO1997022733A1 (en) 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5683568A (en) * 1996-03-29 1997-11-04 University Of Tulsa Electroplating bath for nickel-iron alloys and method
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5576052A (en) 1996-04-22 1996-11-19 Motorola, Inc. Method of metallizing high aspect ratio apertures
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5730854A (en) 1996-05-30 1998-03-24 Enthone-Omi, Inc. Alkoxylated dimercaptans as copper additives and de-polarizing additives
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5723387A (en) 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
DE69620273T2 (de) * 1996-12-20 2002-07-18 Alcatel Sa Verfahren zur Herstellung von Abstandshaltern auf einer elektrischen Leiterplatte
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
AUPO473297A0 (en) * 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
EP0905285B1 (en) 1997-02-03 2000-12-27 Okuno Chemical Industries Co., Ltd. Method for electroplating nonconductive material
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6221230B1 (en) * 1997-05-15 2001-04-24 Hiromitsu Takeuchi Plating method and apparatus
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6565731B1 (en) * 1997-06-03 2003-05-20 Shipley Company, L.L.C. Electroplating process
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) * 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
JP2003520898A (ja) 1998-07-10 2003-07-08 セミトゥール・インコーポレイテッド 化学メッキ及び電気メッキを使って銅メッキを行うための方法及び装置
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
DE19840109A1 (de) * 1998-09-03 2000-03-09 Agfa Gevaert Ag Farbfotografisches Silberhalogenidmaterial
US6319831B1 (en) 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
CN1217034C (zh) * 1999-04-13 2005-08-31 塞米用具公司 具有改进的处理流体流的处理腔的工件处理装置
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6277607B1 (en) * 1999-05-24 2001-08-21 Sanjay Tyagi High specificity primers, amplification methods and kits
EP1111096A3 (en) * 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
AU2002343330A1 (en) * 2001-08-31 2003-03-10 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04120290A (ja) * 1990-02-26 1992-04-21 Ishihara Chem Co Ltd 電気銅めっき液
JPH06349952A (ja) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd 配線形成方法

Also Published As

Publication number Publication date
JP2002506927A (ja) 2002-03-05
US20050150770A1 (en) 2005-07-14
EP1064417A1 (en) 2001-01-03
TWI223678B (en) 2004-11-11
US6811675B2 (en) 2004-11-02
EP1064417A4 (en) 2006-07-05
US6277263B1 (en) 2001-08-21
TW593731B (en) 2004-06-21
CN1293719A (zh) 2001-05-02
US20050139478A1 (en) 2005-06-30
WO1999047731A1 (en) 1999-09-23
KR100420157B1 (ko) 2004-03-02
CN1246504C (zh) 2006-03-22
US20010042689A1 (en) 2001-11-22
US20050173252A1 (en) 2005-08-11
KR20010034468A (ko) 2001-04-25
US6290833B1 (en) 2001-09-18

Similar Documents

Publication Publication Date Title
JP4642229B2 (ja) 半導体作業部材の上に銅を電解により沈着させる装置および方法
US6197181B1 (en) Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US7135404B2 (en) Method for applying metal features onto barrier layers using electrochemical deposition
US6806186B2 (en) Submicron metallization using electrochemical deposition
JPH11274157A (ja) 微細配線形成方法
US20050199507A1 (en) Chemical structures and compositions of ECP additives to reduce pit defects
EP1125007B1 (en) Submicron metallization using electrochemical deposition
KR100873573B1 (ko) 전자 장치의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060322

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081027

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090407

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090707

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090714

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091229

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100112

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100302

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100701

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101116

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101201

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131210

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131210

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131210

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees