TW434175B - System for transferring wafers from cassettes to furnaces and method - Google Patents

System for transferring wafers from cassettes to furnaces and method Download PDF

Info

Publication number
TW434175B
TW434175B TW087114415A TW87114415A TW434175B TW 434175 B TW434175 B TW 434175B TW 087114415 A TW087114415 A TW 087114415A TW 87114415 A TW87114415 A TW 87114415A TW 434175 B TW434175 B TW 434175B
Authority
TW
Taiwan
Prior art keywords
wafer
furnace
patent application
scope
item
Prior art date
Application number
TW087114415A
Other languages
English (en)
Inventor
Gert-Jan Snijders
Original Assignee
Asm Int
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Int filed Critical Asm Int
Application granted granted Critical
Publication of TW434175B publication Critical patent/TW434175B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

4 '7 Α7 Β7 五、發明説明(1 ) 發明領域 本發明是關於將晶圓裝入與移出爐中。 ί 發明背景 當處理半導體碟片或晶圓時,習用技術是將後者裝入 晶圓架中,此晶圓架導入爐內然後在一提高的溫度下進行 —供應有任何類型處理氣體之處理。在已讓渡給A SM國 際公司(ASM International N.V.)的美國第 5 4 0 7 4 4 9號專利中|說明一以習用方式利用匣盒來 供應晶圓的系統。晶圓是藉由中央自動裝置自匣盒移開並 轉送至與爐相關的晶圓架上。晶圓架是經由一旋轉裝置放 入爐中。各含有一爐與其它處理裝置的群組具有它自己的 裝卸裝置。 當增加晶圓處理裝置的容量時,在習知技術中最先建 議放置數個彼此相互平行的系統》 設計此系統的問題在於,用來將晶圓自匣盒轉送至晶 圓架之自動裝置是必須設計成如此的尺寸使得轉送晶圓的 時間不會構成一限制因素,即使是爐中的最短處理時間》 一個解決此問題的方法包含將數個自動裝置相互定位於其 上以便同時裝載一晶圓架。 在一後來的提議中,將兩個爐放置在一區而這兩個爐 藉由一單獨自動裝置的協助配置有來自匣盒的晶圓。 然而實際上發現很少用到此自動裝置的全部容量。而 且’大量自動裝置的安裝費用是可觀的,空間的需求亦然 ---------装------11----,——1^ (誚先閱讀背而之注意事項再"fii本頁) 本纸張尺度璉州中围β家標肀{ (,NS > Λ4規格(210X297公釐).4 - ^^"中^^^^一^工^费合化权印% 4341 75 A7 __B7_五、發明説明(2 ) 〇 本發明的目的是在避免這些缺點並提供一藉此可有效 提供大量具有晶圓的解決方法,其在一方面具有將晶圓自 匣盒轉送至晶圓架之足夠容量,但在另一方面具有使用至 最大可能程度的轉送容量。 此目的是藉由上述用來將晶圓裝入、處理於及移出自 一爐的系統來達成,此系統包含數個在一區域相靠配置的 爐,以及該晶圓的裝卸裝置,其中該裝卸裝置包含一供應 不同的爐之中央裝卸台,並配置有用來將晶圓自匣盒/晶 圓架轉送至晶圓架/匣盒之裝置,此裝置安裝在一運送載 有晶圓的晶圓架之裝置中,其包含一具有底座及垂直壁並 配置有運送裝置的支撐架,一至少使晶圓架底部安裝在該 支撐架中的支撐,以及此支撐架於其壁附近配置有自該晶 圓架運送並移動該晶圓時用來抓緊該晶圓的裝置》 本發明所根據的見識在於自匣盒至晶圓架的轉送不再 以群組的方式來完成,而是在距其某些距離的位置來完成 。結果是可以中央裝卸台在一區域提供不同的爐。可以有 三個|亦可以有三十個爐。因爲所有爐的最大容量是不曾 在同一時間達到,所以是可能選擇一中央裝卸台的尖峰容 量’其可觀地低於根據習知技術的裝卸裝置所決定的尖峰 容量。結果達成,在一方面節省了成本,在另一方面節省 了空間。後者導致不僅在固定成本的限制,而且亦可能在 淸潔室條件下達成不同的特色。 根據本發明的較佳實施例,上述之支撐架配置有一可 本紙張尺度適π中阈K家標苹(('NS ) 格(2丨0X297公釐) ΓΤΙ ---------^------iT-------^ (請先閱讀背面之注意事項4填艿本頁〕 434175 A7 B7 五、發明説明(3 ) 分離蓋。結果是可能在該支撐架中創造出一相對於環境一 之保護大氣。這些條件能夠近似於或者是淸潔室條件。亦 可能將惰性氣體導入支撐架中,或者藉由鼓風機的協助在 運送中通過支撐架將淨空氣或其它氣體移出。亦可能將支 撐架中的內容物抽出。關於晶圓在中央台與爐間的運送, 重要的是晶圓相對於晶圓架的移動結果不能有任何顆粒釋 放於運送中。目前所提議的是在運送中最好是以例如塑膠 指狀物將晶圓自晶圓架提起。僅當晶圓架載入爐中時,亦 即當溫度升高時|使晶圓架再次負起晶圓的支撐功能。 藉此方式塑膠指狀物是經常受制於低溫應力並儘可能 防止顆粒的產生。若運送中有一蓋安裝在運送晶圓架的裝 置上,將更增進淸潔條件下的作用。藉此方式該裝置在中 央台與爐間的移動中是完全關閉。是可能設計如此的架構 以便將位於壚的裝置帶入與爐底部的密封接合然後將安裝 在此裝置上的蓋移入一位於爐下提供此目的之分離室。此 分離室亦能夠用來接受爐的閉合,此爐是移開以露出用來 導入晶圓架的開口。 如習知技術所揭示的,是可能提供一爐用閉合板至晶 圓架底部,因此當晶圓架導入爐中時,閉合是自動提供的 〇 將爐的閉合與運送晶圓架裝置的蓋同時移開是特別有 效率的。以此程序,能夠遮護此裝置蓋的頂部與爐閉合的 底部與環境分開,以防止黏著於其上的污染物進入環境中 〇 本紙乐尺度1¾ 冢「NS ) /\4規格(210X297公釐) 7〇1 ---------#------1Τ-------0 (請先閲讀背面之注意事項再填艿本頁) 434175 A7 B7 五、發明説明(4 ) 用來移動晶圓架的裝置是配置有晶圓架用頂高裝置, 一方面用來裝塡晶圓架,另一方面用來將晶圓架導入爐中 。再者’宥位於爐的頂髙裝置將用來運送晶圓架的裝置帶 入與爐底部的密合接觸。 本發明亦有關於處理晶圓的方法,其步驟包含:將匣 盒中的晶圓裝入中央裝卸台,將晶圓自匣盒中移出並放在 晶圓架上’將晶圓架裝入運送晶圓架的裝置中,將該裝置 移至多樣化的數個爐之一,將裝塡有晶圓的晶圓架轉送入 該數個爐之一,將晶圓支配至一熱處理,將儲存於晶圓架 的晶圓卸入一運送晶圓架的裝置中,將該裝置移至裝卸台 ,以及將晶圓自晶圓架轉送至匣盒。 圖式簡單說明 本發明將參考圖中所示之實施例在以下作更詳細的說 明。圖中: 圖1顯示根據本發明之系統的上視圖; 圖2顯示根據本發明之滑車在爐下移動時的截面圖; 圖3顯示圖2中之滑車與爐接合時的簡圖: 圖4顯1拳緣中之責車導入晶圓架的簡圖: 圖5顯示H中沿- 線的簡圖;及 圖6顯示穿通道的簡圖。 主要元件對照表 1 系統 本紙乐尺度(('NS )六4坭格(210X297公釐) 7 ---------Jti------ΪΤ------- (諳先聞讀背面之注意事項再填巧本頁) 4341 7 A7 B7 五、發明説明(5 2 3 4 5 6 7 8 9 0 14 15 16 17 18 19 2 0 2 1 2 2 2 3 2 4 2 5 區 中央裝卸台 爐 滑車 匣盒 裝置 台 晶圓架 晶圓 閉合板 頂高轉軸 頂高支撐 頂高馬達 石英支撐 塑膠支撐 支撐架 輪 底部 蓋 閉合 隔絕塞 室 圓形密封環 頂筒台 ---------¾------ΪΤ------—漆. (#先閲讀背而之注意事項再填窍本頁) 本纸张尺度適W中园园家標卒((’NS ) Λ4規格(210X 297公f ) Δί Id Α7 __Β7 五、發明説明(6 ) 2 6 頂高機 2 8 電子組件 2 9 ·! 氣體控制裝置 詳細說明 圖1中根據本發明之系統在整體中是以1來標示。此 系統是由室2與轉送系統3組成。室2能夠在淸潔室的條 件下作業。然而亦可能以標準條件存在於此室中。 在室2中配置有數個於提高溫度時處理晶的爐,例如 在那些條件下供應一氣體。將裝塡有晶圓的晶圓架導入該 爐。此晶圓架是配置在滑車5中,此滑車是自配置在室3 中的裝卸台轉送至爐4。滑車5的裝卸是在台8中發生。 這些台能夠自清潔室2與區3兩者中打開與關閉》 晶圓是以匣盒包裝而供應於裝卸台中。在先前技術中 比匣盒是用來移動晶圓於短程與長程之習用運送裝置。然 後藉由自動裝置將晶圓自匣盒移出並放入安裝於滑車5的 晶圓架中。然後在爐下驅動滑車5後,將晶圓以下文敘述 的方式裝入爐4中以作上述的處理。 在此一處理後,滑車5移回卸台,亦即進入台8,並 將晶圓放回匣盒中6。 當然是可能在一爐4中作處理後,將晶圓支配至另不 迆中作另一處理》—方面,這將包含一先前處理的沿續, 但亦可能在另一爐中使用不同的氣體或另一溫度條件。 比較起習知技術,此架構不再需要使用串聯至各爐的 本紙張又度ii 中家櫺冷(rNS ) Λ4規格(2】0X 297公釐) -9- ---------^------ΪΤ-------線 (請先閱讀背面之注意事項再填寫本頁) 434175 :# 部屮少代^^卩-τ.消 合;印;^ A7 __. B7__五、發明说明(7 ) 空氣鎖。亦即,上述之裝卸台能夠架構以一容量使得所有 爐在任何時間都能夠全面作業》實際上,發現此一容量在 許多時候小於具有鎖固架構串聯至各爐的容量,且通常使 用至一非常有限的程度。終究,此鎖固架構通常不會在爐 的熱處理中作用。再者,是可能以此架構來決定根據所有 爐而不是分別各爐的總尖峰負載之尖峰負載,此亦可能導 致以一更限制的容量來架構區3中的裝卸台。因爲裝卸台 的更限制架構是可能的,其安裝成本將減少,同時亦減少 所拿掉的面積。 圖2至圖4顯示圖中滑車5的截面側視圖。此滑車包 含一支撐架狀部件,其安裝在輪1 8上並在頂部配置有一 蓋2 0 »其間有一頂高支撐1 3的三個頂高轉軸1 2是安 裝於滑車中*頂高支撐1 3藉由支撐轉軸上下移動。頂轉 軸是藉由頂高馬達1 4以達成轉動。頂高架構僅以簡圖表 示且任何習知技術之架構都可使用。頂高支撐是配置以接 收晶圓架9 »晶圓架9具有一其上安裝有真實石英材料架 的頂區段,其配置有用來運送晶圓1 0的石英支撐1 5。 一閉合板是配置1晶圓架9的底部。 當滑車5裝入台8時,滑車1 3將會在一位置使得最 上面的石英支撐1 5彈出滑車之上。當以自動裝置7協助 裝塡時,晶圓架將緩慢向上移出滑車並在完全裝塡後下降 。然後安裝蓋2 0。在滑車5內的狀況能夠修正,其方式 未顯示,以便產生淸潔室狀況於其中。亦可能導入惰性氣 體或繼續使滑車的部通風。 ---------fi------ΐτ----τ--.^ (請先閱請背面之注意事項再硪艿本育) 本纸乐尺度適用中阀S家標肀(i’NS ) Λ4規格(210X297公釐丨 -1〇 ·
4341 7S Α7 Β7 五、發明説明(8 ) 在將晶圓架9完全帶入滑車5後,固定在支撐架1 7 的支撐1 6向內移入放置石英支撐1 5上的晶圓1 0下方 (如圖5所示)。然後具有塑膠支撐16的支撐架17向 上移動以便晶圓放置塑膠支撐1 6上。而後開始運送而無 因晶圓相對於石英支撐15的移動而產生顆粒之風險。 滑車5以並未更詳細顯示的方式在盧4下移動,如圖 3所示。此移動可用手達成,然而亦可自動化成不同的特 色》滑車5以在輪1 8上滑動並不是絕對必要的。任何將 滑車5自台8帶入爐4的習知技術架構都是'可使用的。 可從圖2看到爐4是配置在滑車5可在其下滑動的高 度。一可由頂高機2 6操作的頂高台2 5是位於爐4開口 下方。藉此方式滑車5能夠緊靠著爐4的底部1 9,如圖 3所示。滑車5或爐式部1 9配置有一圓形密封環2 4使 得它們能夠相互密合。爐4在其底部配置有一設有隔絕塞 2 2的爐閉合2 1 此閉合以並未更詳細顯示的方式帶下 至接觸到蓋2 0爲止。 然後藉由爐閉合2 1抓緊蓋2 0 —起多少向上移動, 此並未更詳細顯示。 如圖4所示,此爐閉合2 1與蓋2 0的組合向右移入 室2 3中。以此方式確保存在於爐閉合2 1下部與蓋2 0 上部的任何污染物質是鎖閉且不會散佈。在爐閉合2 1與 蓋2 0的組合完全進入室2 3後,晶圓架才能夠進入爐中 。到此首要的是將晶圓放置在晶圓架9的石英支撐丨5上 。到此具有塑膠支撐的支撐架1 7向下些微移動而塑膠支 ---------11------1Τ-------狭: (請先閱讀背面之注意事項再填巧本頁) 本纸张尺度適用中程托家標(’NS )八4現格(210X297公策> -11 - Λ Α7 Β7 五、發明説明(9 ) 撐1 6向外移動。然後塑膠支撐1 6維持此位置。頂高支 撐1 3藉由頂高馬達1 4向上移動,以便晶圓架進入爐中 。此移動連續到閉合板1 1自下方將爐關閉,在此之後才 能夠完成相關處理。 然後上述作業將以相反次序進行》再以蓋2 0關閉滑 車5後,可能將滑車在同方向移回,然而亦可能將滑車直 行移動,如圖6所示,結果,另一滑車5能夠立刻定位在 爐4下方|以便儘可能增加系統的容量》 圖6中爐4的電子組件是以2 8表示,而2 9表示處 理用氣體控制裝置。從此圖中可看到滑車5是在爐下方自 左向右移動。 將會瞭解到在上述此系統的一實施例中,滑車5將自 其原先導入的相同方向移回。 雖然本發明已參考一較佳實施例來說明,將會瞭解到 存在有很多應用上述槪念的修改之可能生,這對於熟知此 技術者是顯而易見的且是在所附加的申請專利範圍內。例 如,是可能提供一具有可關閉蓋的室2 3與滑車的蓋2 0 相互作用。以此方式爐4的內部是完全與外界隔絕以便在 爐內以簡單的方式保持最好的處理狀況。僅在連接滑車5 至爐4後,將此蓋與蓋2 0 —起移動,所以實質上防止了 污染物的進入。蓋2 0能夠隨後或同時自爐管移開。 (请先閱請背面之注意事項再填寫本頁) -裝. 、1Τ 線 本紙&尺度这州中网^家榡苹(('NS ) Λ4規格(2]0X 297公釐).\2 -

Claims (1)

  1. ΛΒ B8 C8 DS 六、申請專利範圍 1 . 一種用於移動載有晶圓(1 0)的晶圓架(9) 之裝置(5 ),其包含一具有底座及垂直壁並配置有運送 構件的支撐架,一至少使晶圓架底部安裝在該支撐架中的 支撐,以及此支撐架於其壁附近配置有自該晶圓架運送並 移動該晶圓時用來抓緊該晶圓的構件(16,17)。 2 .如申請專利範圍第1項之移_ I ' ~ * 架具有一可分開的蓋(20) » 裝置,其中該支撐 3,如申請專利範圍第1項之 V' | 裝置,其中該支撐 架是配置有用於影響正在其中進行_況之構件 裝置,其中該支撐 經濟部中央標準局*Ε:工消費合作社印装 4 .如申請專利範圍第1項之 架是配置有用於移動裝在其中的晶圜:桀之頂高構件(1 2 :.· \ • 13-14)。 —— 5 .如申請專利範圍第1至4項中任一項之裝置,其 中該運送構件包含數個輪(18)。 6 . —種運送晶圓的系統,其包含一爐(4 )與如申 請專利範圔第1至5項中任一項的用於運送晶圓之裝置( 5),其中爐的一側是配置有一室(2 3),其具有一開 口以可密封方式接受用於運送載有晶圓的晶圓架之該裝置 頂部。 7 .如申請專利範圍第6項之系統,其中用於將蓋移 動自該支撐架的構件是配置於該室(23)中。 8 .如申請專利範圍第6項之系統,其中用於移動爐 閉合的構件是配置於該室中》 9.如申請專利範圍第7項之系統,其中該用於移動 ---------^------^------1^ <請先Η讀背面之注意事項再填寫本頁) 本紙張尺度逋用中國國家標準< CNS ) Α4说格(210X297公釐) _ 13 - 經濟部中央標隼局員工消費合作社印装 4341 76 Λ8 B8 C8 D8 六、申請專利範圍 該支撐架蓋與該爐閉合的構件是架構以將該支撐架蓋與該 爐閉合緊靠放置並同時移動。 1 〇 .如申請專利範圍第6項之系統,其中該支撐架 的頂高構件(2 5,2 6 )是存在於該爐的位置。 11.如申請專利範圍第6至10項中任一項之系統 ,其中晶圓架在底部配置有一板(2 1),其在晶圓架導 入爐中時形成該爐(4) II朗合板。 1 2 ·—種用於負_ k理並將晶圓(1 0 )移入/ 移至/移出一爐(4 ) ,其包含數個在一區(2) 中相靠配置的爐,以及一用於該晶圓的裝卸裝置,其特徵 在於該裝卸裝置包含一用於供應不同爐的中央裝卸台(3 ),配置有將晶圓轉送自/至匣盒至/自晶圓架的構件· 此晶圓架安裝在如申請專利範圍第1至5項任一項之移動 裝置中。 1 3 .如申請專利範圍第1 2項之系統,其中中央裝 卸台(3 )是配置在一淸潔室中。 14 .如申請專利範圍第12或13項之系統|其中 該爐(4)是配置在一淸潔室中。 1 5 .如申請專利範圍第1 4項之系統,其中在中央 裝卸台(3 )與爐(4 )間的區移入有如申請專利範圍第 1至5項中任一項之移動裝置並包含一淸潔室。 1 6 . —種用於處理晶圓之方法,其包含將匣盒中的 該晶圓裝入中央裝卸台,將該晶圓自該匣盒拿出並放入晶 圓架中,將該晶圓架載入一用於運送晶圓架的裝置中,將 本纸張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 裝------訂------線 (請先聞讀背面之注項再填寫本頁) 4 3 41 7 5 A8 B8 C8 ___D8 々、申請專利範圍 該運送裝置移至數個爐之一’將裝塡有晶圓的該晶圓架轉 送入該數個爐之一’支配晶圓至一熱處理,釋出儲存於晶 圓架中的該晶圓進入一用於運送晶圓架的裝置,將該運送 裝置移至裝卸台’以及將該晶圓自該晶圓架轉送至匣盒。 1 7 .如申請專利範圍第1 6項之方法,其中在自該 爐釋出後,用於運送晶圓架的該裝置是移至用於該晶圓另 〜處理的另一爐。 ---------^------1Γ------—0 (請先閱讀背面之注$項再填寫本頁) 經濟部中央標準扃員工消費合作社印製 -TF- 本纸張尺度適用中國國家標準(CNS ) Μ現格(21〇X:Z97公釐)
TW087114415A 1997-03-25 1998-08-31 System for transferring wafers from cassettes to furnaces and method TW434175B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
NL1005625A NL1005625C2 (nl) 1997-03-25 1997-03-25 Stelsel voor het overbrengen van wafers uit cassettes naar ovens alsmede werkwijze.

Publications (1)

Publication Number Publication Date
TW434175B true TW434175B (en) 2001-05-16

Family

ID=19764660

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087114415A TW434175B (en) 1997-03-25 1998-08-31 System for transferring wafers from cassettes to furnaces and method

Country Status (9)

Country Link
US (1) US6139239A (zh)
EP (1) EP0970510B1 (zh)
JP (2) JP2001519095A (zh)
KR (1) KR20010005697A (zh)
AU (1) AU6526298A (zh)
DE (1) DE69824562T2 (zh)
NL (1) NL1005625C2 (zh)
TW (1) TW434175B (zh)
WO (1) WO1998043283A1 (zh)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
KR100487577B1 (ko) * 2002-11-25 2005-05-06 주식회사 피에스티 반도체 제조장치
CN100383912C (zh) * 2005-01-11 2008-04-23 中芯国际集成电路制造(上海)有限公司 晶片高温测试炉
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103057945B (zh) * 2012-08-08 2015-07-15 深圳市华星光电技术有限公司 一种多层基板存储装置
US9144901B2 (en) 2012-08-08 2015-09-29 Weibing Yang Storage device for multilayer substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9991139B2 (en) 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7092981A (en) * 1980-05-30 1981-12-03 Elektro-Dahlen A.B. Baking oven and cart with rotating surfaces
JPS58191446A (ja) * 1982-05-04 1983-11-08 Nec Corp 半導体基板搬送装置
KR960001161B1 (ko) * 1987-09-29 1996-01-19 도오교오 에레구토론 사가미 가부시끼가이샤 열처리장치
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
US4981436A (en) * 1988-08-08 1991-01-01 Tel Sagami Limited Vertical type heat-treatment apparatus
JPH07120634B2 (ja) * 1988-12-27 1995-12-20 東京エレクトロン東北株式会社 処理装置
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
JP2888369B2 (ja) * 1990-09-25 1999-05-10 東京エレクトロン株式会社 縦型熱処理装置
US5163832A (en) * 1990-10-30 1992-11-17 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5611448A (en) * 1995-09-25 1997-03-18 United Microelectronics Corporation Wafer container
JPH09148399A (ja) * 1995-11-28 1997-06-06 Toshiba Microelectron Corp ウエハ洗浄及び拡散システム

Also Published As

Publication number Publication date
KR20010005697A (ko) 2001-01-15
EP0970510B1 (en) 2004-06-16
DE69824562T2 (de) 2004-11-11
DE69824562D1 (de) 2004-07-22
JP2009027187A (ja) 2009-02-05
AU6526298A (en) 1998-10-20
EP0970510A1 (en) 2000-01-12
NL1005625C2 (nl) 1998-10-01
JP2001519095A (ja) 2001-10-16
WO1998043283A1 (en) 1998-10-01
US6139239A (en) 2000-10-31
JP4801711B2 (ja) 2011-10-26

Similar Documents

Publication Publication Date Title
TW434175B (en) System for transferring wafers from cassettes to furnaces and method
JP2000150400A (ja) 縦型熱処理装置およびボート搬送方法
US6352403B1 (en) Controlled environment enclosure and mechanical interface
KR0153250B1 (ko) 종형 열처리 장치
JP2003332250A (ja) 炉内でウェハをバッチ処理するための方法および装置
JP2008187017A (ja) 縦型熱処理装置及び縦型熱処理方法
JP4342745B2 (ja) 基板処理方法および半導体装置の製造方法
JP2009512201A (ja) 汚染の影響を受けやすい平坦な物品を保管する、特に、半導体ウェハを保管する装置
KR19990028767A (ko) 반도체 웨이퍼 일괄 적재 시스템 및 반도체 웨이퍼 조작 시스템
KR0147387B1 (ko) 종형 열처리 장치
JP4838293B2 (ja) 基板処理方法、半導体装置の製造方法および基板処理装置
KR0148384B1 (ko) 종형열처리장치
CN110047791B (zh) 基板处理装置、半导体器件的制造方法以及记录介质
JP2008270266A (ja) 基板処理装置
KR101058597B1 (ko) 프로세싱 툴에 기판을 공급하는 방법 및 장치
JP2639375B2 (ja) 連続熱処理装置
JPH1074815A (ja) 搬送方法及びその装置
JP2009302351A (ja) 被処理体の移載機構及び被処理体の処理システム
JP2006019320A (ja) 縦型熱処理装置及びその運用方法
JP2888369B2 (ja) 縦型熱処理装置
JP2645357B2 (ja) 処理装置
EP0596536A1 (en) Transport system and method of using same
JPH05267432A (ja) 半導体製造装置及びそのウェーハカセット棚
JP2002184771A (ja) 熱処理装置
JPH04133422A (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent