JP2001519095A - カセットから炉へのウエーハ移送用のシステム及び方法 - Google Patents

カセットから炉へのウエーハ移送用のシステム及び方法

Info

Publication number
JP2001519095A
JP2001519095A JP54551398A JP54551398A JP2001519095A JP 2001519095 A JP2001519095 A JP 2001519095A JP 54551398 A JP54551398 A JP 54551398A JP 54551398 A JP54551398 A JP 54551398A JP 2001519095 A JP2001519095 A JP 2001519095A
Authority
JP
Japan
Prior art keywords
wafer
furnace
rack
holder
loading
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP54551398A
Other languages
English (en)
Inventor
スニーデルス,ゲルト−ジヤン
Original Assignee
エイエスエム・インターナシヨナル・エヌ・ブイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム・インターナシヨナル・エヌ・ブイ filed Critical エイエスエム・インターナシヨナル・エヌ・ブイ
Publication of JP2001519095A publication Critical patent/JP2001519095A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

(57)【要約】 ウエーハの処理装置である。1区域内に複数の炉を置き、これらの炉の各に、ウエーハで満たされたウエーハラックを導入することが提案される。中央装填/取出し装置内でカセットから充填されたウエーハラックがトロリーに置かれる。中央装填/取出し装置は、全ての炉に対して作業する。

Description

【発明の詳細な説明】 カセットから炉へのウエーハ移送用のシステム及び方法 本発明は、炉へのウエーハの供給及び炉からのウエーハの取出しに関する。 半導体円盤又はウエーハの処理の際は、ウエーハは、従来技術においてはウエ ーハラック内に置かれ、このウエーハラックが炉の中に導かれ、次いで、供給さ れる適宜適切な種類のプロセスガスによる高温での処理が行われる。ASMイン ターナショナルN.V.に譲渡された米国特許US−A−5407449号にお いては、ウエーハが通常の方法でカセット内に供給されるシステムが説明される 。ウエーハは、中央ロボットによりカセットから取り出され、関連の炉のウエー ハラックに移送される。ウエーハラックは円形コンベヤーを介して炉に送られる 。炉及びその他の処理装置よりなる各クラスターは、それ自身の装填/取出し装 置を持つ。 ウエーハの処理装置の容量を増大させるとき、従来技術においては、複数のか かるシステムを互いに並列に置くことがまず提案された。 続く提案においては、1区域内に2個の炉が置かれ、1台のロボットの助けに より、これらの炉にカセットからのウエーハが提供された。 かかるシステムを設計するときの問題点は、ウエーハをカセットからウエーハ ラックに移送するためのロボットを、ウエーハの移送時間が炉内の最短処理時間 に対しても限定要因を構成しないような寸法にする必要のあることである。この 問題の一解答は、ウエーハラックに同時に装填するように、複数のロボットを互 いに重ねて置くことを含む。 しかし、事実上、かかるロボットが、その容量を完全に使用されるの は稀であることが見いだされた。更に、多数のロボットの設置費用が空間の要求 と同様に認められる。 本発明の目標は、これらの不利を克服すること、及び多数の炉にウエーハを効 果的に供給し得る手段により解を提供することであり、この解により、一方では 、ウエーハをカセットからウエーハラックに移送するに十分な容量があり、他方 では移送容量はその最大可能な量まで利用される。 この目標は、ウエーハの装填/取出し装置と同様に1区域内で互いに並んで配 列された複数の炉を備え、ウエーハを炉に装填し、炉内で処理し、そして炉から 取り出すためのシステムであって、前記装填/取出し装置は、種々の炉のために 作業する中央装填/取出しステーションであって、ウエーハを装填したウエーハ ラック輸送装置に取り付けられたウエーハラックとカセットとの間でウエーハを 往復移送するための手段が設けられた前記ステーションを備え、更に底部と垂直 方向の壁とを有しかつ輸送手段の設けられたホルダー、前記ホルダーに取り付け られた前記ウエーハラックの少なくも底部のための支持具を備え、そしてホルダ ーは、その壁に付近に、前記ウエーハを輸送中把持しかつ前記ウエーハを前記ウ エーハラックから降ろすための手段が設けられるシステムにより達成される。 本発明の基本的な考えは、カセットからウエーハラックへの移送は炉のあるク ラスターでは行われず、これからある距離に置かれた位置で行われることである 。その結果、中央装填/取出しステーションは、1区域内に配列された種々の炉 の作業に使用することができる。炉は3個とすることも30個とすることもでき る。全ての炉が同時に最大容量に達 することはあり得ないので、装填/取出しのための中央ステーション用の最大容 量は、従来技術による装填/取出し装置のために決られた最大容量よりかなり小 さく選ぶことができる。その結果、一方では費用の節減が、また他方では空間の 節約が得られる。後者は固定費の限定だけでなく、種々の特徴をクリーンルーム 状態下で具体化することも可能にする。 本発明の有利な実施例により、上述のホルダーには、取外し可能な蓋が設けら れる。その結果、前記ホルダー内に、環境に関して保護する雰囲気を作ることが できる。これらの条件はクリーンルーム条件に近似し、或いはクリーンルーム条 件そのものとすることもできる。また、ホルダー内に不活性ガスを導入すること もでき、或いは、送風機の支援により、輸送中、ホルダーを通して清浄な空気又 はその他のガスを動かすことができる。また、ホルダーの内容物を吸い出すこと も可能である。 中央ステーションと炉との間のウエーハラックの輸送については、輸送中に、 ウエーハラックに関するウエーハの運動の結果として粒子の発生しないことが重 要である。このために、輸送中、好ましくはプラスチックフィンガーの支援によ り、ウエーハをウエーハラックから幾分か持ち上げることが提案される。ウエー ハラックが炉内に装填されたときだけ、即ち、温度が上昇したとき、ウエーハラ ックが、再びウエーハの支持機能を担当する。 この方法により、プラスチックフィンガーは常に低温ストレスを受け、粒子の 生成はできるだけ多く防がれる。輸送中、ウエーハラック輸送装置に蓋が適合し ていれば、クリーン条件下の作業が更に助長される。この手段により前記装置は 、中央ステーションと炉との間の運動中、完全 に遮断される。炉において、装置は炉の底部と気密に組み合うようにされ、次い で、装置に適合された蓋が、これのために炉の下方に設けられた別の室内に動か される。かかる室は、ウエーハラック導入用の開口を露出させるために外された 炉の閉鎖体を受け入れるようにも使うことができる。 従来技術において明らかにされたように、ウエーハラックが炉内に導入される とき自動的に炉の閉鎖が提供されるように、ウエーハラックの底部に炉のための 閉鎖板を設けることができる。 炉からの閉鎖体の外しとウエーハラック輸送装置からの蓋の外しを同時に行う ことが特に効果的である。この方法により、装置の蓋の頂部と炉の閉鎖体の底部 とが環境からできるだけ遮断され、従ってここに付着しているいかなる汚染物も 、その環境への通過が防がれる。 ウエーハラック移動装置には、一方ではウエーハラックを充填し、他方ではウ エーハラックを炉内に導くために、ウエーハラック用のリフト手段が設けられる 。更に、ウエーハラック輸送装置を炉の底部と気密接触状態にするために、炉に リフト手段が設けられる。 本発明は、ウエーハの処理方法であって、カセット内の前記ウエーハを中央装 填/取出しステーションに供給し、前記カセットから前記ウエーハを取り出しこ れをウエーハラック内に置き、前記ウエーハラックをウエーハラック輸送装置内 に載せ、前記装置を多数の炉の一つに動かし、ウエーハで満たされた前記ウエー ハラックを前記炉の一つの中に移送し、ウエーハを熱処理し、ウエーハラック内 に収納された前記ウエーハをウエーハラック輸送装置内に取り出し、前記装置を 装填/取出しステーションに動かし、そして前記ウエーハを前記ウエーハラック からカセットに 移送することを含んだ方法にも関連する。 本発明は、図面に示された図解実施例を参照し、以下、より詳細に説明される であろう。図面において、 図1は本発明によるシステムの線図的な平面図を示し、 図2は炉の下方に動かしたときの本発明によるトロリーの線図的な断面図を示 し、 図3は炉と組み合ったときの図2によるトロリーを示し、 図4はウエーハラックを誘導しているときの図2によるトロリーを示し、 図5は図2の線VI−VIに沿って得られた断面図を示し、そして 図6は炉を通る通路の線図的な平面図を示す。 図1において、本発明によるシステムが、その全体を1により示される。この システムは、室2と移送システム3とを備える。室2はクリーンルーム状態下で 運転することができる。しかし、室内を標準状態とすることも可能である。 例えば、これらの条件下でガスを供給することによりウエーハを高温で処理す る複数の炉が室2内に配列される。ウエーハで満たされたウエーハラックが前記 炉の中に導入される。かかるウエーハラックは、トロリー5内に配列され、これ らは区域3内に配列された装填/取出しステーションから炉4に輸送される。ト ロリー5の装填/取出しはベイ8において行われる。これらベイはクリーンルー ム2及び区域3の両者に対して開口し閉鎖することができる。 ウエーハは、装填/取出しステーションにおいてカセットに供給され詰められ る。従来技術においては、かかるカセットが、ウエーハを短距 離及び長距離の双方にわたって動かす通常の輸送手段である。ウエーハは、ロボ ット7の助けによりカセットから取り出され、トロリー5に取り付けられたウエ ーハラック内に置かれる。次いで、これらトロリー5は炉4の下方に駆動され、 その後、ウエーハラックは、上述の処理のために、以下説明されるであろう方法 により、炉4の中に置かれる。 かかる処理に続いて、トロリー5は装填/取出しステーション、即ちベイ5内 に戻され、ウエーハはカセット6内に置き換えられる。 ウエーハがある一つの炉4の処理に続いて、別の炉4において更なる処理を受 けることも勿論可能である。この更なる処理は、最初の処理の継続とすることも できるが、別の炉における異なったガス又は別の温度状況を使うことも可能であ る。 従来技術と比べ、この構成により、各炉に直列に連結された気閘の使用はもは や不必要である。換言すれば、上述の装填/取出しステーションは、全部の炉を 常に完全稼動状態にさせ得る容量で構成することができる。事実、かかる容量は 、各炉に直列に連結された気閘の容量より何倍も小さく、通常、極めて限定され た範囲で利用されることが見いだされた。結局、この配列により、気閘は、一般 に炉の熱処理中は作動しないであろう。更に、この構成により、各炉に対して個 別的にではなくて全ての炉を一緒にしたピーク負荷に基づいてピーク負荷を決定 することができ、これは、また、区域3の装填/取出しステーションを、より限 定された容量で構築することも可能とする。装填/取出しステーションのより限 定された構成が可能であるので、その設置費用は少なくなり、一方、所要の床面 積も小さくなるであろう。 図2−4は、図1に示されたトロリー5の断面の線図的な側面図を示 す。このトロリーは、車輪18に取り付けられ、そして蓋20が設けられたホル ダー状部分を備える。リフト支持体13の間を伸びる3個のリフト軸12がトロ リーに取り付けられる。リフト支持体13はリフト軸の手段により昇降可能であ る。リフト軸はリフトモーター14により回転される。リフトの構成は線図的に のみ示され、従来技術で知られた適宜の構成となし得ることを理解すべきである 。リフト支持体は、ウエーハラック9を受け入れるように装備される。ウエーハ ラック9は、石英材料の事実上のラックが取り付けられた頂部部分を有し、頂部 部分にはウエーハ10を担持するための石英の支持体15が設けられる。ウエー ハラック9の底部には閉鎖板11が取り付けられる。 リフト支持体13は、ベイ8においてトロリー5に装填するとき、最も上の石 英の支持具15がトロリーの上方に突き出すような位置にあるであろう。ウエー ハラックは、ロボット7により満たされると、ゆっくりとトロリーから外に上方 に動かされ、ウエーハで完全に満たされた後、下げられるであろう。次いで蓋2 0が被せられるであろう。次いで、トロリー5は、内部をクリンルーム状態にす るため、示されない方法でその内部の状況を変えることができる。また、トロリ ー5の内部に不活性ガスを導入し、又は換気を続けることも可能である。 ウエーハラック9が完全にトロリー5内に入れられた後、受け台17に固定さ れた支持具16が、石英の支持具15上にあるウエーハ10の下に内向きに動か される(図5も参照)。次いで、プラスチック支持具16を有する受け台17が 上向きに動かされ、そのとき、ウエーハはプラスチック支持具16上にくる。石 英支持具15に関するウエーハの移動の結果として、粒子が生ずるであろうとい う危険なしに輸送を行うこ とができる。 次いで、トロリー5は、より詳細には示されない方法で、図3に示されるよう に炉4の下方に動かされる。この運動は手動で行うことができるが、種々の設備 を自動化することも可能である。トロリー5が車輪18で走行することは絶対的 な本質的なものではない。トロリー5をベイ8から炉4に送るための従来技術で 知られた適宜の構造を使用することができる。 図2より、炉4は、トロリー5がその下に駆動されるような高さに配置される ことが見られる。ジャッキ26により作動させ得るリフトプラットフォーム25 が、炉4の口の下に置かれる。この手段により、トロリー5を、図3に示される ように、炉4の底部19に押し付けることができる。トロリー5又は炉19のい ずれかに、この両者を互いに密閉させ得るOリングシール24が設けられる。炉 4は、その底部に、断熱プラグ22の設けられた炉の閉鎖体21が設けられる。 この閉鎖体は、詳細には示されない方法で、蓋20と接触するまで下げられる。 次いで、蓋20は、詳細には示されない方法で、炉の閉鎖体により把持され、 炉の閉鎖体21は蓋20と共に多少上方に動く。 次いで、炉の閉鎖体21と蓋20とよりなる組が、図4に示されたように、室 23内に右に動く。この方法で、炉の閉鎖体21の底部又は蓋20の頂部にある 如何なる汚染された物質も確実に封鎖され飛散しない。炉の閉鎖体21と蓋20 とよりなる組が室23内に完全に動かされた後、ウエーハラック9を炉の中に動 かすことができる。これには、まず、ウエーハがウエーハラック9の石英支持体 15の上にあるようにすることが必要である。このために、プラスチック支持具 用の受け台17が、少 し下げられ、次いでプラスチック支持具16が外向きに動かされる。次いで、プ ラスチック支持具はこの位置に留まる。次に、リフト支持体13が作動用モータ ー14により上方に動かされ、ウエーハラックが炉に入る。閉鎖板11が下方か ら炉を閉鎖するまでこの運動が続けられ、その後、適切な処理を行うことができ る。 次いで、上述の操作が反対の順序で行われる。蓋20によりトロリー5を閉鎖 した後、トロリーを同じ方向に戻すことができるが、図6に示されるようにトロ リーを真っすぐの動かすことも可能である。その結果、新しいトロリー5を炉4 の下方に真っすぐに位置決めし、これにより、システムの容量をできるだけ大き くさせることができる。 図6において、炉4の電子部品が28で示され、一方、29は処理用ガスの制 御装置を示す。この図から、トロリー5は炉の下方を左から右に動かされること が分かる。 上述のシステムの簡単な実施例の場合は、トロリー5は、導入されたと同じ方 向に戻されるであろうことが理解されるであろう。 本発明が好ましい実施例を参照して以上説明されたが、当業者に明らかであり かつ本発明の範囲内に入る変更を上述の概念に適用するための多くの可能性のあ ることが理解されるであろう。例えば、トロリーの蓋20と相互作用する閉鎖可 能な蓋を室23に設けることができる。この方法で、炉4の内部を周囲環境から 完全に遮断し、簡単な方法で炉の中の最適の処理条件を維持することができる。 かかる蓋は、炉4へのトロリー5の連結の後でだけ蓋20と共に外され、従って 汚染物の進入は本質的に防がれる。続いて、又は同時に、蓋21を炉筒から外す ことができる。
【手続補正書】特許法第184条の8第1項 【提出日】平成11年6月23日(1999.6.23) 【補正内容】 請求の範囲 1.ウエーハを処理するための少なくも2個の炉(4)並びにカセットをウエ ーハラックに装填/取出しを行いかつ装填/取出し用の空間を有する装填/取出 しステーション(3)、及びウエーハを装填されかつ装填/取出しステーション (3)と前記炉との間を動き得るウエーハラックを受け入れる移動手段(5)を 具備し、システム内に複数の移動手段(5)があること、及び前記装填/取出し ステーションが複数の装填/取出し空間(8)を備えることを特徴とするウエー ハ処理システム(1)。 2.炉の一方の側に室(23)が設けられ、この室は、ウエーハの装填された ウエーハラックを輸送する装置の前記頂部を閉鎖可能な方法で受け入れるための 開口を有する請求項1によるシステム。 3.前記移動手段がホルダーを備え、前記室(23)が前記蓋を前記ホルダー から動かすための手段を有することを特徴とする請求項2によるシステム。 4.炉の閉鎖体を動かすための手段が前記室に適合する請求項2又は3による システム. 5.前記ホルダーの蓋及び前記炉の閉鎖体を動かすための前記手段が、前記ホ ルダーの蓋と前記炉の閉鎖体とを互いに押し付けて置き、かつこれら2者を同時 に動かすように構成される請求項3又は4によるシステム。 6.前記ホルダー用のリフト手段(25、26)が前記炉の位置にある請求項 2−5の一によるシステム。 7.ウエーハラックは、底部に板(21)が設けられ、これが、ウエ ーハラックが炉の中に導かれたときの前記炉(4)の閉鎖板を形成する請求項2 −6の一によるシステム。 8.中央装填/取出しステーション(3)がクリーンルーム内に配置される先 行請求項の一によるシステム。 9.前記処理炉がクリーンルーム内に配置される先行請求項の一によるシステ ム。 10.前記ウエーハラック移動装置が動く中央装填/取出しステーション(3 )と炉(4)との間の区域がクリーンルームよりなる請求項9によるシステム。 11.ウエーハ(10)の装填されたウエーハラック(9)を動かすための装 置95)であって、底部と垂直方向の壁とを有しかつ輸送手段の設けられたホル ダー、前記ホルダーに取り付けられたウエーハラックの少なくも底部のための支 持具を具備し、前記ホルダーは、粒子を発生させることなく輸送をなし得るよう に、輸送中、前記複数のウエーハを同時に把持しかつ前記ウエーハを前記ウエー ハラックから移動させるための手段(16、17)が、その壁の近くに設けられ ることを特徴とする装置。 12.前記ホルダーが脱着可能な蓋(20)を有する請求項11による装置。 13.内部の状態に影響を与える手段が前記ホルダーに設けられる請求項11 又は12の一による装置。 14.取り付けられたウエーハラックを動かすためのリフト手段(12、13 、14)が前記ホルダーに設けられる請求項11−13の一による装置。 15.前記輸送手段が車輪(18)を備える請求項11−14の一による装置 。 16.炉/ウエーハ(100の処理方法であって、カセット(6)内の前記ウ エーハを中央装填/取出しステーション(3)に送り、前記カセットから前記ウ エーハを取り出しこれをウエーハラック内に置き、前記ウエーハラックをウエー ハラック移動用装置内に載せ、前記装置を複数の処理炉の一つに動かし、ウエー ハで満たされた前記ウエーハラックを前記処理炉の一つの中に移送し、ウエーハ を処理し、ウエーハラック内に収納された前記ウエーハをウエーハラック移動用 装置内に取り出し、前記装置を取り出すことを含んだ方法であって、ウエーハラ ック移動用装置が複数使用されること、及び中央装填/取出しステーションの少 なくも複数の空間からウエーハラック移動用の前記装置が装填/取出しされるこ とを特徴とする方法。 17.処理装置内でのウエーハの処理中は、前記ウエーハラック移動装置が前 記処理装置の付近に留まる請求樹16による方法。 18.前記ウエーハが、前記ウエーハラック移動装置内での輸送中、前記ウエ ーハラックから解放されてこれに関して上方に移動される請求樹15又は16に よる方法。 19.前記ウエーハの更なる処理のために前記ウエーハラック輸送装置が更な る炉に動かされる請求項16による方法。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(GH,GM,KE,LS,M W,SD,SZ,UG,ZW),EA(AM,AZ,BY ,KG,KZ,MD,RU,TJ,TM),AL,AM ,AT,AU,AZ,BA,BB,BG,BR,BY, CA,CH,CN,CU,CZ,DE,DK,EE,E S,FI,GB,GE,GH,GM,GW,HU,ID ,IL,IS,JP,KE,KG,KP,KR,KZ, LC,LK,LR,LS,LT,LU,LV,MD,M G,MK,MN,MW,MX,NO,NZ,PL,PT ,RO,RU,SD,SE,SG,SI,SK,SL, TJ,TM,TR,TT,UA,UG,US,UZ,V N,YU,ZW

Claims (1)

  1. 【特許請求の範囲】 1.ウエーハ(10)の装填されたウエーハラック(9)を動かすための装置 (5)であって、底部と垂直方向の壁とを有しかつ輸送手段の設けられたホルダ ー、前記ホルダーに取り付けられたウエーハラックの少なくも底部のための支持 具を具備し、ホルダーは、その壁の近くに、輸送中、前記ウエーハを把持しかつ 前記ウエーハを前記ウエーハラックから移動させるための手段(16、17)が 設けられた装置。 2.前記ホルダーが脱着可能な蓋(20)を有する請求項1による装置。 3.内部の状態に影響を与える手段が前記ホルダーに設けられる先行請求項の 一による装置。 4.取り付けられたウエーハラックを動かすためのリフト手段(12、13、 14)が前記ホルダーに設けられる先行請求項の一による装置。 5.前記輸送手段が車輪(18)を備える先行請求項の一による装置。 6.炉(4)及び先行請求項の一によるウエーハの輸送装置(5)を備えたシ ステムであって、前記炉の一方の側に室(23)が設けられ、この室は、ウエー ハの装填されたウエーハラックを輸送する装置の前記頂部を閉鎖可能な方法で受 け入れるための開口を有する前記システム。 7.蓋を前記ホルダーから動かすための手段が前記室(23)に適合する実施 態様2と組み合わせられた請求項6によるシステム。 8.炉の閉鎖体を動かすための手段が前記室に適合される請求項6又は7によ る装置。 9.前記ホルダーの蓋及び前記炉の閉鎖体を動かすための前記手段が、前記ホ ルダーの蓋と前記炉の閉鎖体とを互いに押し付けて置きかつこれ ら者を同時に動かすように構成される請求項7又は8による装置。 10.前記ホルダー用のリフト手段(25、26)が前記炉の位置にある請求 項6−9の一によるシステム。 11.ウエーハラックは底部に板(21)が設けられ、これがウエーハラック が炉の中に導かれたときの前記炉(4)の閉鎖板を形成する請求項6−10の一 によるシステム。 12.ウエーハ(10)を炉(4)に装填し、炉内で処理し、そして炉から取 り出すためのシステムであって、前記ウエーハの装填/取出し装置と同様に1区 域(2)内で互いに並んで配列された複数の炉を備え、前記装填/取り出し装置 は、種々の炉のために作業する中央装填/取出しステーション(3)であってか つカセットと請求項1−5の一による装置に取り付けられたウエーハラックとの 間でウエーハを往復移送するための手段(7)が設けられた前記ステーションを 備えることを特徴とするシステム。 13.中央装填/取出しステーション(3)がクリーンルーム内に配置される 請求項12によるシステム。 14.前記炉(4)がクリーンルーム内に配置される請求項12又は13によ るシステム。 15.請求項1−5の一つによる装置が動かされる中央装填/取出しステーシ ョン(3)と炉(4)との間の区域がクリーンルームよりなる請求項14による システム。 16.ウエーハの処理方法であって、カセット内の前記ウエーハを中央装填/ 取出しステーションに送り、前記カセットから前記ウエーハを取り出しこれをウ エーハラック内に置き、前記ウエーハラックをウエー ハラック輸送用の装置内に載せ、前記装置を多数の前記炉の一つに動かし、ウエ ーハで満たされた前記ウエーハラックを前記炉の一つの中に移送し、ウエーハを 熱処理し、ウエーハラック内に収納された前記ウエーハをウエーハラック輸送装 置内に取り出し、前記装置を装填/取出しステーションに動かし、そして前記ウ エーハを前記ウエーハラックからカセットに移送することを含んだ方法。 17.前記炉から出された後、前記ウエーハの更なる処理のために前記ウエー ハラック輸送装置が更なる炉に動かされる請求項16による方法。
JP54551398A 1997-03-25 1998-03-25 カセットから炉へのウエーハ移送用のシステム及び方法 Withdrawn JP2001519095A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1005625A NL1005625C2 (nl) 1997-03-25 1997-03-25 Stelsel voor het overbrengen van wafers uit cassettes naar ovens alsmede werkwijze.
NL1005625 1997-03-25
PCT/NL1998/000167 WO1998043283A1 (en) 1997-03-25 1998-03-25 System for transferring wafers from cassettes to furnaces and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008250825A Division JP4801711B2 (ja) 1997-03-25 2008-09-29 カセットから炉へのウエーハ移送用のシステム及び方法

Publications (1)

Publication Number Publication Date
JP2001519095A true JP2001519095A (ja) 2001-10-16

Family

ID=19764660

Family Applications (2)

Application Number Title Priority Date Filing Date
JP54551398A Withdrawn JP2001519095A (ja) 1997-03-25 1998-03-25 カセットから炉へのウエーハ移送用のシステム及び方法
JP2008250825A Expired - Lifetime JP4801711B2 (ja) 1997-03-25 2008-09-29 カセットから炉へのウエーハ移送用のシステム及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008250825A Expired - Lifetime JP4801711B2 (ja) 1997-03-25 2008-09-29 カセットから炉へのウエーハ移送用のシステム及び方法

Country Status (9)

Country Link
US (1) US6139239A (ja)
EP (1) EP0970510B1 (ja)
JP (2) JP2001519095A (ja)
KR (1) KR20010005697A (ja)
AU (1) AU6526298A (ja)
DE (1) DE69824562T2 (ja)
NL (1) NL1005625C2 (ja)
TW (1) TW434175B (ja)
WO (1) WO1998043283A1 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
KR100487577B1 (ko) * 2002-11-25 2005-05-06 주식회사 피에스티 반도체 제조장치
CN100383912C (zh) * 2005-01-11 2008-04-23 中芯国际集成电路制造(上海)有限公司 晶片高温测试炉
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9144901B2 (en) 2012-08-08 2015-09-29 Weibing Yang Storage device for multilayer substrate
CN103057945B (zh) * 2012-08-08 2015-07-15 深圳市华星光电技术有限公司 一种多层基板存储装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102143966B1 (ko) 2012-12-03 2020-08-13 에이에스엠 아이피 홀딩 비.브이. 모듈식 수직 노 처리 시스템
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7092981A (en) * 1980-05-30 1981-12-03 Elektro-Dahlen A.B. Baking oven and cart with rotating surfaces
JPS58191446A (ja) * 1982-05-04 1983-11-08 Nec Corp 半導体基板搬送装置
KR960001161B1 (ko) * 1987-09-29 1996-01-19 도오교오 에레구토론 사가미 가부시끼가이샤 열처리장치
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
US4981436A (en) * 1988-08-08 1991-01-01 Tel Sagami Limited Vertical type heat-treatment apparatus
JPH07120634B2 (ja) * 1988-12-27 1995-12-20 東京エレクトロン東北株式会社 処理装置
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
JP2888369B2 (ja) * 1990-09-25 1999-05-10 東京エレクトロン株式会社 縦型熱処理装置
US5163832A (en) * 1990-10-30 1992-11-17 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5611448A (en) * 1995-09-25 1997-03-18 United Microelectronics Corporation Wafer container
JPH09148399A (ja) * 1995-11-28 1997-06-06 Toshiba Microelectron Corp ウエハ洗浄及び拡散システム

Also Published As

Publication number Publication date
TW434175B (en) 2001-05-16
EP0970510A1 (en) 2000-01-12
JP2009027187A (ja) 2009-02-05
EP0970510B1 (en) 2004-06-16
JP4801711B2 (ja) 2011-10-26
DE69824562T2 (de) 2004-11-11
NL1005625C2 (nl) 1998-10-01
US6139239A (en) 2000-10-31
AU6526298A (en) 1998-10-20
WO1998043283A1 (en) 1998-10-01
KR20010005697A (ko) 2001-01-15
DE69824562D1 (de) 2004-07-22

Similar Documents

Publication Publication Date Title
JP2001519095A (ja) カセットから炉へのウエーハ移送用のシステム及び方法
JP2000511710A (ja) 半導体ウェーハのプロセス装置
US7740437B2 (en) Processing system with increased cassette storage capacity
JP3664897B2 (ja) 縦型熱処理装置
TWI508217B (zh) 基板處理裝置
US4722659A (en) Semiconductor wafer carrier transport apparatus
JP2002512446A (ja) ウエハ処理装置とともに使用するための自動化ウエハバッファ
TW200947595A (en) Vacuum processing apparatus, vacuum processing method, and computer-readable medium
JP4342745B2 (ja) 基板処理方法および半導体装置の製造方法
KR0165857B1 (ko) 종형 열처리 장치
JP4709912B2 (ja) 基板処理方法および半導体装置の製造方法
KR102649528B1 (ko) 기상 성장 장치
JP2010219383A (ja) 基板処理システムおよび基板処理方法
JP2582578Y2 (ja) 多室式半導体処理装置
JPH06244266A (ja) ウェハ搬送車
JPS61168934A (ja) ウエハハンドリング方法
JPH0694066B2 (ja) バッチ式真空熱処理炉による生産設備
US6647641B1 (en) Device and method for the treatment of substrates in a fluid container
JPH0219969B2 (ja)
JPS61168913A (ja) ウエハ熱処理装置
JPH06286811A (ja) 移載装置
JPS6280276A (ja) プラズマcvd装置
JP5872880B2 (ja) 基板処理装置、基板移載装置及び半導体装置の製造方法
JPH02155251A (ja) 半導体製造装置
JPH10249317A (ja) カセットタイプの回転容器を使用する熱分解処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080204

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20080520

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080929

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090108

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090402

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100413