KR20010005697A - 웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법 - Google Patents

웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법 Download PDF

Info

Publication number
KR20010005697A
KR20010005697A KR1019997008765A KR19997008765A KR20010005697A KR 20010005697 A KR20010005697 A KR 20010005697A KR 1019997008765 A KR1019997008765 A KR 1019997008765A KR 19997008765 A KR19997008765 A KR 19997008765A KR 20010005697 A KR20010005697 A KR 20010005697A
Authority
KR
South Korea
Prior art keywords
wafer
furnace
shelf
holder
loading
Prior art date
Application number
KR1019997008765A
Other languages
English (en)
Inventor
스니즈데르스게르트얀
Original Assignee
에릭 야코부스 라이니에르 카메르비크
에이에스엠 인터내쇼날 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에릭 야코부스 라이니에르 카메르비크, 에이에스엠 인터내쇼날 엔.브이. filed Critical 에릭 야코부스 라이니에르 카메르비크
Publication of KR20010005697A publication Critical patent/KR20010005697A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

본 발명은 웨이퍼를 처리하는 시스템이다. 일 영역 내에 여러 개의 노를 배치시키는 것이 제안되며, 웨이퍼가 장전된 웨이퍼 선반은 이들 각각의 노 내에 도입된다. 웨이퍼 선반은 트롤리 내에 위치되며, 이 트롤리는 중앙 로딩/제거 장치 내에서 카세트로부터 장전된다. 중앙 로딩/제거 장치는 모든 노를 위해 사용된다.

Description

웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법{SYSTEM FOR TRANSFERRING WAFERS FROM CASSETTES TO FURNACES AND METHOD}
반도체 디스크 또는 웨이퍼를 처리할 때, 종래에는 웨이퍼가 웨이퍼 선반(wafer rack)에 배치되고, 이 웨이퍼 선반이 노 내로 도입되며, 그 후 소정 타입의 프로세스 가스가 공급되며 고온에서 처리된다. 에이에스엠 인터내쇼날 엔.브이. 에 양도된 미국 특허 US-A 5 407 449에는 웨이퍼를 통상의 방법으로 카세트 내에 공급하는 시스템이 개시되어 있다. 웨이퍼는 중앙 로봇에 의해 카세트로부터 제거되어, 해당 노의 웨이퍼 선반으로 운반된다. 웨이퍼 선반은 카루우젤(carousel)을 통해 노에 공급된다. 노 및 다른 처리 장치로 구성되는 각 클러스터(cluster)는 자체 로딩(loading) 및 제거 장치를 구비한다.
웨이퍼 처리용 장치의 용량을 증대시키고자 할 때, 종래 기술에서는 먼저 여러 개의 그러한 시스템을 서로 나란히 배치시키는 것이 제안되었다.
차후 제안에서는, 일 영역 내에 2개의 노가 배치되었으며, 이들 노에는 하나의 로봇에 의해 카세트로부터 비롯된 웨이퍼가 제공되었다.
그러한 시스템을 설계할 때의 문제점으로서는, 웨이퍼를 카세트로부터 웨이퍼 선반으로 운반하는 로봇을 웨이퍼의 운반 시간(심지어, 노 내에서의 가장 짧은 처리 시간조차도)이 제한 요인(limiting factor)로 되지 않을 정도로 설계되어야 한다는 점이다. 이러한 문제점에 대한 하나의 해결책으로는 웨이퍼 선반의 동시 장입을 위해 여러 개의 로봇을 서로 상하로 위치 설정하는 것이 있다.
그러나, 실제로 그러한 로봇의 용량이 거의 풀(full)로 사용되지 않는다는 것을 알 수 있었다. 또한, 여러 개의 로봇을 설치하는 데에 비용이 많이 들고, 차지하는 공간도 상당하다.
본 발명은 웨이퍼를 노(爐)에 공급하고 또 노로부터 제거하는 것에 관한 것이다.
도 1은 본 발명에 따른 시스템의 개략적인 평면도이고,
도 2는 본 발명에 따른 트롤리가 노 아래에서 이동할 때의 개략적인 단면도이고,
도 3은 도 2에 따른 트롤리가 노에 결합되어 있을 때를 도시한 도면이고,
도 4는 웨이퍼 선반이 노 내에 도입되어 있을 때의 도 2에 따른 트롤리를 도시한 도면이고,
도 5는 도 2의 선 Ⅵ-Ⅵ를 따라 취한 단면도이고,
도 6은 노를 통과하는 모습을 나타낸 개략적인 평면도이다.
본 발명의 목적은 이러한 문제점을 방지하고, 여러 개의 노에 웨이퍼를 효율적으로 제공할 수 있는 해결책을 제공하며, 그 해결책에 의해 한편으로는 웨이퍼를 카세트로부터 웨이퍼 선반으로 운반하기에 충분한 용량을 얻게 되고, 다른 한편으로는 운반 용량을 최대 가능 한도까지 활용하게 된다.
상기 목적은 웨이퍼를 노 내에 장입하고, 노 내에서 처리하여 노로부터 제거하는 전술한 시스템에 의해 달성되고, 상기 시스템은 상기 웨이퍼의 로딩/제거 장치 뿐만 아니라 일 영역 내에 서로 나란히 배열된 여러 개의 노를 구비하며, 상기 로딩/제거 장치에는 여러 개의 노에 사용되고, 또 웨이퍼를 카세트로부터 웨이퍼가 로딩되는 웨이퍼 선반을 운반하는 장치 내에 장착된 웨이퍼 선반으로 운반하고, 또 웨이퍼를 상기 웨이퍼 선반으로부터 카세트로 운반하는 수단이 제공되는 중앙 로딩/제거 스테이션이 포함되고, 상기 장치에는 또 베이스와 수직벽을 구비하고 이송 수단이 제공된 홀더와, 상기 홀더 내에 장착된 웨이퍼 선반의 적어도 하부를 지지하는 지지체가 포함되며, 상기 홀더의 벽 가까이에는 상기 웨이퍼를 상기 웨이퍼 선반으로부터 이송 및 변위시키는 동안에 상기 웨이퍼를 파지하는 수단이 제공된다.
본 발명의 기초가 되는 관점은 카세트로부터 웨이퍼 선반으로의 운반이 노를 갖는 클러스트에서 더 이상 실행되는 것이 아니라 그로부터 소정 거리에 있는 위치에서 실행된다는 점이다. 그 결과, 중앙 로딩/제거 스테이션이 일 영역 내에 배열된 여러 개의 노에 사용될 수 있다. 노는 3개일 수 있지만, 30개일 수도 있다. 모든 노의 최대 용량이 반드시 동시에 도달되지 않기 때문에, 로딩/제거용 중앙 스테이션의 피크 용량(peak capacity)을 종래 기술에 따른 로딩/제거 장치에 대해 정해진 피크 용량보다 상당히 더 낮게 선택할 수 있다. 그 결과, 한편으로는 비용 절약과, 다른 한편으로는 공간 절약이 획득된다. 공간 절약은 고정 비용의 제한 뿐만 아니라 클린 룸 상태하에서 다양한 특징을 실현할 수 있다.
본 발명의 유리한 실시예에 따르면, 전술한 홀더에는 분리(착탈) 가능한 리드(lid)가 제공된다. 그 결과, 상기 홀더 내에 주위 환경에 대해 보호되는 분위기를 조성할 수 있다. 이러한 상태는 클린 룸 상태에 가깝게 하거나 클린 룸(clean room) 상태로 할 수도 있다. 또한, 불활성 가스를 홀더 내로 도입할 수 있고, 또는 송풍기를 사용하여, 이송 중에 클린 공기 또는 다른 가스를 홀더를 통해 이동시킬 수 있다.
웨이퍼 선반을 중앙 스테이션과 노 사이에서 이송하기 위해서는, 그러한 이송 중에 웨이퍼가 웨이퍼 선반에 대하여 이동함으로써 입자(particle)가 유리(遊離)되지 않게 되는 것이 중요하다. 이 때문에, 웨이퍼를 이송 중에 바람직하게는 플라스틱 핑거(plastic finger)를 사용하여 웨이퍼 선반으로부터 약간 상승시키는 것이 제안되어 있다. 웨이퍼 선반이 노 내에 장입될 때, 즉 온도가 상승할 때에만, 웨이퍼 선반이 웨이퍼에 대한 지지 기능을 다시 수행한다.
이에 의해 플라스틱 핑거는 항상 저온 응력을 받게 되며, 입자의 생성이 가능한 한 방지된다. 이송 중에 웨이퍼 선반을 이송하는 장치 상에 리드가 체결된 다면, 클린 상태 하에서의 작업이 더 진척된다. 이에 의해, 상기 장치는 중앙 스테이션과 노 사이에서의 이동 중에 완전히 차단된다. 노에 있어서 장치가 노의 하부와 밀봉 결합되고, 그 후 장치 상에 체결된 리드가 이를 위해 노 아래에 설치된 별도의 챔버 내로 이동되도록 구조를 설계할 수 있다. 그러한 챔버는 또한 노 폐쇄부를 수용하는데 사용될 수 있으며, 이 노 폐쇄부는 웨이퍼 선반을 도입하는 개구부를 노출시키기 위해 제거된다.
종래 기술에서 설명한 바와 같이, 웨이퍼 선반의 하부가 노 폐쇄판이므로, 웨이퍼 선반이 노 내로 도입되면 폐쇄부가 자동적으로 제공된다.
노로부터 폐쇄부를 제거하는 것과 웨이퍼 선반을 이송하는 장치로부터 리드를 제거하는 것을 동시에 실행하는 것이 특히 효과적이다. 이러한 처리에 의해 장치에 구비된 리드의 상부와 노 폐쇄부의 하부가 가능한 한 주위 환경으로부터 차폐될 수 있으며, 그래서 거기에 묻어있던 오염물이 주위 환경으로 이동하는 것이 방지된다.
웨이퍼 선반 이동용 장치에는 웨이퍼 선반용 승강 수단이 제공되어, 한편으로는 웨이퍼 선반을 장전하고, 다른 한편으로는 웨이퍼 선반을 노 내로 도입한다. 또한, 노에는 웨이퍼 선반 이송용 장치를 노의 하부와 밀봉 접촉되게 하는 승강 수단이 있다.
또한, 본 발명은 웨이퍼를 처리하는 방법에 관한 것으로서, 이 방법은 카세트 내에 있는 상기 웨이퍼를 중앙 로딩/제거 스테이션에 공급하는 단계와, 상기 웨이퍼를 상기 카세트로부터 꺼내어 웨이퍼 선반에 배치시키는 단계와, 상기 웨이퍼 선반을 웨이퍼 선반 이송용 장치 내에 로딩하는 단계와, 상기 장치를 복수 개의 노 중 어느 하나로 이동시키는 단계와, 웨이퍼로 장전된 상기 웨이퍼 선반을 상기 노 중 어느 하나 내로 운반하는 단계와, 웨이퍼를 열처리하는 단계와, 웨이퍼 선반에 수납된 상기 웨이퍼를 웨이퍼 선반 이송용 장치 내로 배출하는 단계와, 상기 장치를 로딩/제거 스테이션으로 이동시키는 단계 및 상기 웨이퍼를 상기 웨이퍼 선반으로부터 카세트로 운반하는 단계를 포함한다.
본 발명을 도면에서 보여주는 예시적인 실시예를 참조하여 이하에 더 상세히 설명하기로 한다.
도 1에는 본 발명에 따른 시스템 전체가 참조 부호 1로서 지시되어 있다. 이 시스템은 룸(2)과 운반 시스템(3)으로 구성되어 있다. 룸(2)은 클린 룸 상태하에서 작동될 수 있다. 그러나, 룸 내를 표준 상태(standard conditions)로 할 수도 있다.
룸(2) 내에는, 예컨대 상기 상태하에서 가스를 공급하는 것에 의해 웨이퍼를 고온에서 처리하는 여러 개의 노가 배열되어 있다. 웨이퍼가 장전된(채워진) 웨이퍼 선반이 상기 노 내로 도입되어 있다. 그러한 웨이퍼 선반은 트롤리(5) 내에 배열되며, 이 트롤리는 영역(3) 내에 배열된 로딩/제거 스테이션으로부터 노(4)로 이송된다. 트롤리(5)의 로딩/언로딩(loading/unloading)은 베이(8; bay)에서 실행된다. 그러한 베이는 클린 룸(2)과 영역(3) 모두에 대해 개방될 수 있고, 또 이들 모두와 차단될 수 있다.
로딩/제거 스테이션에 있어서, 웨이퍼는 카세트 내에 공급되어 포장된다. 종래 기술에서 그러한 카세트는 웨이퍼를 단거리 및 장거리 동안 이동시키고자 할 때 사용하는 통상의 운반 수단이다. 그 후 웨이퍼는 로봇(7)에 의해 카세트로부터 이동되어 웨이퍼 선반에 배치되며, 이 웨이퍼 선반은 트롤리(5) 내에 장착되어 있다. 그 후, 전술한 처리를 위해, 이러한 트롤리(5)는 노(4) 아래쪽으로 구동되고, 그 다음에 웨이퍼 선반이 이후에 설명될 방식으로 노(4) 내에 배치된다.
그러한 처리에 이어서, 트롤리(5)가 로딩/제거 스테이션, 즉 베이(8) 내로 후퇴되며, 웨이퍼가 카세트(6)로 복귀된다.
노(4) 중 어느 하나 내에서의 처리에 이어서, 웨이퍼가 다른 노(4) 중 어느 하나 내에서 추가 처리될 수 있음은 물론이다. 한편으로, 이것은 보다 빠른 연속 처리를 포함할 수 있지만, 다른 노 내에 상이한 가스 또는 다른 온도 조건(regime)을 사용할 수도 있다.
종래 기술과 비교하였을 때, 이러한 구조체에 의해, 더 이상 각각의 노에 연속하여 연결된 공기 로크(air lock)를 사용할 필요가 없다. 즉, 전술한 로딩/제거 스테이션은 모든 노가 언제든지 전부 가동될 수 있도록 소정 용량으로 구성될 수 있다. 실제로, 그러한 용량은 종종 각 노에 대해 연속 연결된 고정 구조체의 용량보다 수배 더 작다는 것이 알려져 있으며, 이 용량은 일반적으로 매우 제한된 범위로 활용되고 있다. 결국, 이러한 배열에 의해, 상기 로크는 일반적으로 노 내에서의 열처리 중에는 작동되지 않는다. 또한, 이러한 구성에 의해, 개별적으로 각각의 노에 대한 피크 로드(peak load)를 기초로 한 것이 아니라 모든 노에 대한 피크 로드를 기초로 하여 피크 로드를 결정할 수 있으며, 그 결과 영역(3) 내의 로딩/제거 스테이션이 더욱 제한된 용량으로 구성될 수 있다. 로딩/제거 스테이션을 더욱 제한적인 구성으로 할 수 있기 때문에, 그 설치 비용이 감소되며, 또한 점유되는 바닥 면적도 감소된다.
도 2 내지 도 4는 도 1에 도시된 트롤리(5)의 개략적인 측단면도이다. 이 트롤리는 홀더형 부재를 포함하며, 이 홀더형 부재는 휠(18) 상에 장착되고, 상부에는 리드(20)가 구비되어 있다. 트롤리 내에는 3개의 승강용 스핀들(12)이 장착되며, 이 스핀들 사이에서 승강 지지체(13)가 연장된다. 승강 지지체(13)는 승강용 스핀들에 의해 상하 이동될 수 있다. 승강용 스핀들의 회전은 승강 모터(14)에 의해 실행된다. 승강체의 구성은 개략적으로만 도시되며, 종래 기술에서 알려진 소정 구성이 사용될 수 있다는 점을 이해해야 한다. 승강 지지체는 웨이퍼 선반(9)을 수용하기 위해 설치된다. 웨이퍼 선반(9)은 석영재의 실제 선반이 위에 장착되는 상부 섹션으로 이루어지며, 상기 실제의 선반에는 웨이퍼(10)를 지지하는 석영제 지지체(15)가 제공된다. 웨이퍼 선반(9)의 하부에는 폐쇄판(11)이 체결되어 있다.
트롤리(5)를 베이(8)에 로딩할 때, 승강 지지체(13)는 가장 위에 있는 석영제 지지체(15)가 트롤리 위쪽으로 돌출하게 되는 위치에 있다. 로봇(7)에 의해 장전될 때 웨이퍼 선반은 트롤리의 상방 외측으로 천천히 이동되고, 완전히 정전된 후에 웨이퍼 선반은 하강하게 된다. 그 후 리드(20)가 체결된다. 그후 클린 룸 상태가 트롤리(5) 내에 형성되도록 트롤리(5) 내의 상태가 변형될 수 있으며, 그 방식은 도시되어 있지 않다. 또한, 불활성 가스를 도입하거나, 트롤리(5)의 내부를 연속적으로 정화시킬 수 있다.
웨이퍼 선반(9)이 트롤리(5) 내로 완전히 들어간 후에, 지지대(17)에 고정된 지지체(16)가 웨이퍼(10)의 아래쪽에서 내측으로 이동되고, 이 웨이퍼는 석영제 지지체(15) 상에 안착된다(도 5 참조) . 그 후, 플라스틱 지지체(16)를 갖는 지지대 (17)는 웨이퍼가 플라스틱 지지체(16) 상에 안착되도록 상향 이동된다. 그 후, 석영제 지지체(15)에 대한 웨이퍼의 이동 결과로써 입자가 형성될 위험이 없이 이송이 실행될 수 있다.
그 후, 트롤리(5)는 도 3에 도시한 바와 같이, 노(4) 아래쪽으로 이동된다(그 상세한 방법은 도시 생략함). 이러한 이동은 손으로 실행될 수 있지만, 다양한 특징부를 자동화할 수도 있다. 트롤리(5)가 반드시 휠(18) 상에서 활주해야만 하는것으 아니다. 종래 기술에서 공지된 소정 구성이 트롤리(8)를 베이(8)로부터 노(4)로 운반하기 위해 사용될 수 있다.
도 2로부터, 노(4)는 트롤리(5)가 노 아래쪽에서 구동될 수 있는 높이로 배열되어 있음을 알 수 있다. 노(4)의 개구부 아래쪽에는 잭(26)에 의해 가동될 수 있는 승강 플렛폼(25)이 위치되어 있다. 이에 의해, 도 3에 도시되어 있는 바와 같이, 트롤리(5)가 노(4)의 하부(19)에 대해 가압될 수 있다. 트롤리(5) 또는 노(19)에는 이 트롤리와 노를 서로에 대해 밀봉할 수 있는 O링 시일(24)이 제공되어 있다. 노(4)의 하부에는 단열 플러그(22)가 제공된 노 폐쇄부(21)가 제공되어 있다. 이 폐쇄부는 리드(20)와 접촉할 때까지 하강된다(그 상세한 방법은 도시 생략함).
그 후, 리드(20)는 노 폐쇄부(21)에 의해 파지되고, 리드(20)와 함께 노 폐쇄부(21)는 약간 상방으로 이동한다(그 상세한 방법은 도시 생략함).
그 후, 노 폐쇄부(21)와 리드(20)로 구성되는 세트는 도 4에서 도시한 바와 같이, 우측으로 이동하여 챔버(23) 내로 이동한다. 이에 의해, 노 폐쇄부(21)의 하부에 또는 리드(20)의 상부에 존재하는 소정의 오염물이 밀봉되어 분산되지 않게 된다. 노 폐쇄부(21)와 리드(20)로 구성되는 세트가 완전히 챔버(23) 내로 이동된 후에야 웨이퍼 선반(9)이 노 내로 이동될 수 있다. 이 때문에, 먼저 웨이퍼를 웨이퍼 선반(9)의 석영제 지지체(15) 상에 안착시킬 필요가 있다. 이 때문에 플라스틱제 지지체를 지지하는 지지대(17)가 약간 하향 이동되고, 그 후 플라스틱제 지지체(16)가 외측으로 이동된다. 그 후 플라스틱제 지지체는 이 상태로 유지된다. 그 후, 승강 지지체(13)가 가동 모터(14)에 의해 상향 이동되고, 이에 의해 웨이퍼 선반이 노 내에 들어간다. 이러한 이동은 폐쇄판(11)이 노를 아래쪽으로부터 차폐할 때까지 계속되며, 그 후 관련된 처리가 실행될 수 있다.
그 후, 전술한 작업이 역순으로 실행된다. 트롤리(5)를 리드(20)로 폐쇄한 후에, 동일 방향으로 트롤리를 후방 이동시킬 수 있지만, 도 6에 도시한 바와 같이 트롤리를 일직선으로 이동시킬 수도 있다. 그 결과, 새로운 트롤리(5)가 즉시 노 (4) 아래쪽에 위치될 수 있으며, 이에 의해 시스템의 용량이 가능한 한 증대된다.
도 6에 있어서, 노(4)의 전자 부품은 참조 부호 28로 지시되어 있으며, 한편 참조 부호 29는 처리용 가스 제어 장치를 나타낸다. 이 도면으로부터 트롤리(5)가 노 아래쪽에서 좌측으로부터 우측을 향해 이동된다는 것을 알 수 있다.
전술한 시스템의 간단한 실시예의 경우에 있어서, 트롤리(5)는 이 트롤리가 도입되었던 방향으로부터 동일 방향으로 후퇴되는 것이 이해될 것이다.
비록 본 발명을 바람직한 하나의 실시예를 참조하여 설명하였지만, 당업자라면 전술한 사상을 변형례에 적용할 수 있다는 가능성이 명백하고 또 이는 첨부된 특허 청구 범위의 범주에 속한다는 것이 이해될 것이다. 예컨대, 트롤리의 리드(20)와 상호 작용하는 개폐 가능한 리드를 갖는 챔버(23)를 제공할 수 있다. 이에 의해 노(4)의 내부가 완전히 주위 환경으로부터 격리될 수 있으며, 이에 의해 간단한 방식으로 최적의 처리 상태가 노 내부에 유지될 수 있다. 그러한 리드는 트롤리(5)를 노(4)에 연결한 후에만 리드(20)와 함께 제거되며, 이에 의해 오염물의 침입이 실질적으로 방지된다. 리드(21)는 연속적으로 또는 동시에 노의 관으로부터 제거될 수 있다.

Claims (17)

  1. 웨이퍼(10)가 로딩된 웨이퍼 선반(9)을 이동시키는 장치(5)로서,
    베이스와 수직벽을 가지고 또 이송 수단이 제공된 홀더를 포함하며, 이 홀더 내에는 웨이퍼 선반의 적어도 하부를 지지하는 지지체를 장착되고, 상기 홀더의 벽 가까이에는 상기 웨이퍼를 상기 웨이퍼 선반으로부터 이송 및 변위시키는 동안 상기 웨이퍼를 파지하는 파지 수단(16, 17)이 제공되는 것을 특징으로 하는 웨이퍼 선반 이동 장치.
  2. 제1항에 있어서, 상기 홀더는 분리 가능한 리드(20)를 구비하는 것을 특징으로 하는 웨이퍼 선반 이동 장치.
  3. 제1항 또는 제2항에 있어서, 상기 홀더에는 그 내부에 주요한 상태를 좌우하는 수단이 제공되는 것을 특징으로 하는 웨이퍼 선반 이동 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 홀더에는 그 내부에 장착된 웨이퍼 선반을 이동시키는 승강 수단(12, 13, 14)이 제공되는 것을 특징으로 하는 웨이퍼 선반 이동 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 이송 수단은 휠(18)을 포함하는 것을 특징으로 하는 웨이퍼 선반 이동 장치.
  6. 노(4)와, 제1항 내지 제5항 중 어느 한 항에 따라서 웨이퍼를 이송하는 장치(5)를 포함하며, 상기 노의 일측부에는 챔버(23)가 제공되며, 이 챔버는 웨이퍼가 로딩된 웨이퍼 선반을 이송하는 상기 장치의 상부를 밀봉 가능하도록 수용하는 개구부를 구비하는 것을 특징으로 하는 시스템.
  7. 제6항에 있어서, 제2항과 조합되고, 상기 리드를 상기 홀더로부터 이동시키는 수단은 상기 챔버(23) 내에 삽입되는 것을 특징으로 하는 시스템.
  8. 제6항 또는 제7항에 있어서, 상기 노 폐쇄부를 이동시키는 수단은 상기 챔버 내에 삽입되는 것을 특징으로 하는 장치.
  9. 제7항 또는 제8항에 있어서, 상기 홀더의 리드와 상기 노의 폐쇄부를 이동시키는 상기 수단은 상기 홀더의 리드와 상기 노 폐쇄부를 서로 마주보게 위치시키고, 또 리드와 폐쇄부를 동시에 이동시키도록 구성되는 것을 특징으로 하는 장치.
  10. 제6항 내지 제9항 중 어느 한 항에 있어서, 상기 노의 위치에는 상기 홀더용 승강 수단(25, 26)이 존재하는 것을 특징으로 하는 시스템.
  11. 제6항 내지 제10항 중 어느 한 항에 있어서, 상기 웨이퍼 선반의 하부에는 플레이트(21)가 제공되고, 이 플레이트는 웨이퍼 선반이 노 내로 도입될 때 상기 노(4)의 폐쇄판을 형성하는 것을 특징으로 하는 시스템.
  12. 웨이퍼(10)를 노(4) 내에 장입하고, 노 내에서 처리하여, 노로부터 제거하는 시스템으로서, 상기 웨이퍼의 로딩/제거 장치 뿐만 아니라 서로 나란히 배열된 여러 개의 노를 포함하는, 그러한 시스템에 있어서,
    상기 로딩/제거 장치는 다양한 노에 사용되는 중앙 로딩/제거 스테이션(3)을 포함하며, 이 중앙 로딩/제거 스테이션에는 웨이퍼를 카세트로부터 제1항 내지 제5항 중 어느 한 항에 따른 장치 내에 장착된 웨이퍼 선반으로 운반하고, 또 웨이퍼를 상기 웨이퍼 선반으로부터 상기 카세트로 운반하는 수단이 제공되는 것을 특징으로 하는 시스템.
  13. 제12항에 있어서, 상기 중앙 로딩/제거 스테이션(3)은 클린 룸 내에 배열되는 것을 특징으로 하는 시스템.
  14. 제12항 또는 제13항에 있어서, 상기 노(4)는 클린 룸 내에 배열되는 것을 특징으로 하는 시스템.
  15. 제14항에 있어서, 제1항 내지 제5항 중 어느 한 항에 따른 장치가 이동되는 상기 중앙 로딩/제거 스테이션(3)과 노(4) 사이의 영역은 클린 룸을 포함하는 것을 특징으로 하는 시스템.
  16. 웨이퍼를 처리하는 방법으로서, 카세트 내에 있는 상기 웨이퍼를 중앙 로딩/제거 스테이션에 공급하는 단계와, 상기 웨이퍼를 상기 카세트로부터 꺼내어 웨이퍼 선반에 배치시키는 단계와, 상기 웨이퍼 선반을 웨이퍼 선반 이송용 장치 내에 로딩하는 단계와, 상기 장치를 복수 개의 노 중 어느 하나로 이동시키는 단계와, 웨이퍼가 장전된 상기 웨이퍼 선반을 상기 노 중 어느 하나 내로 운반하는 단계와, 웨이퍼를 열처리하는 단계와, 웨이퍼 선반에 수납된 상기 웨이퍼를 웨이퍼 선반 이송용 장치 내로 배출하는 단계와, 상기 장치를 로딩/제거 스테이션으로 이동시키는 단계 및 상기 웨이퍼를 상기 웨이퍼 선반으로부터 카세트로 운반하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  17. 제16항에 있어서, 상기 노로부터 배출한 후에, 상기 웨이퍼 선반 이송용 장치가 상기 웨이퍼의 추가 처리를 위해 추가 노 내로 이동되는 것을 특징으로 하는 웨이퍼 처리 방법.
KR1019997008765A 1997-03-25 1998-03-25 웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법 KR20010005697A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1005625A NL1005625C2 (nl) 1997-03-25 1997-03-25 Stelsel voor het overbrengen van wafers uit cassettes naar ovens alsmede werkwijze.
NL1005625 1997-03-25
PCT/NL1998/000167 WO1998043283A1 (en) 1997-03-25 1998-03-25 System for transferring wafers from cassettes to furnaces and method

Publications (1)

Publication Number Publication Date
KR20010005697A true KR20010005697A (ko) 2001-01-15

Family

ID=19764660

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019997008765A KR20010005697A (ko) 1997-03-25 1998-03-25 웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법

Country Status (9)

Country Link
US (1) US6139239A (ko)
EP (1) EP0970510B1 (ko)
JP (2) JP2001519095A (ko)
KR (1) KR20010005697A (ko)
AU (1) AU6526298A (ko)
DE (1) DE69824562T2 (ko)
NL (1) NL1005625C2 (ko)
TW (1) TW434175B (ko)
WO (1) WO1998043283A1 (ko)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
KR100487577B1 (ko) * 2002-11-25 2005-05-06 주식회사 피에스티 반도체 제조장치
CN100383912C (zh) * 2005-01-11 2008-04-23 中芯国际集成电路制造(上海)有限公司 晶片高温测试炉
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9144901B2 (en) 2012-08-08 2015-09-29 Weibing Yang Storage device for multilayer substrate
CN103057945B (zh) * 2012-08-08 2015-07-15 深圳市华星光电技术有限公司 一种多层基板存储装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102143966B1 (ko) 2012-12-03 2020-08-13 에이에스엠 아이피 홀딩 비.브이. 모듈식 수직 노 처리 시스템
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU7092981A (en) * 1980-05-30 1981-12-03 Elektro-Dahlen A.B. Baking oven and cart with rotating surfaces
JPS58191446A (ja) * 1982-05-04 1983-11-08 Nec Corp 半導体基板搬送装置
KR960001161B1 (ko) * 1987-09-29 1996-01-19 도오교오 에레구토론 사가미 가부시끼가이샤 열처리장치
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
US4981436A (en) * 1988-08-08 1991-01-01 Tel Sagami Limited Vertical type heat-treatment apparatus
JPH07120634B2 (ja) * 1988-12-27 1995-12-20 東京エレクトロン東北株式会社 処理装置
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
JP2888369B2 (ja) * 1990-09-25 1999-05-10 東京エレクトロン株式会社 縦型熱処理装置
US5163832A (en) * 1990-10-30 1992-11-17 Tokyo Electron Sagami Limited Vertical heat-treating apparatus
JP3275390B2 (ja) * 1992-10-06 2002-04-15 神鋼電機株式会社 可搬式密閉コンテナ流通式の自動搬送システム
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5611448A (en) * 1995-09-25 1997-03-18 United Microelectronics Corporation Wafer container
JPH09148399A (ja) * 1995-11-28 1997-06-06 Toshiba Microelectron Corp ウエハ洗浄及び拡散システム

Also Published As

Publication number Publication date
TW434175B (en) 2001-05-16
EP0970510A1 (en) 2000-01-12
JP2009027187A (ja) 2009-02-05
EP0970510B1 (en) 2004-06-16
JP4801711B2 (ja) 2011-10-26
DE69824562T2 (de) 2004-11-11
NL1005625C2 (nl) 1998-10-01
US6139239A (en) 2000-10-31
AU6526298A (en) 1998-10-20
WO1998043283A1 (en) 1998-10-01
JP2001519095A (ja) 2001-10-16
DE69824562D1 (de) 2004-07-22

Similar Documents

Publication Publication Date Title
KR20010005697A (ko) 웨이퍼를 카세트로부터 노로 운반하는 시스템 및 그 방법
US7740437B2 (en) Processing system with increased cassette storage capacity
KR100375977B1 (ko) 웨이퍼 처리 장치
JP4353450B2 (ja) ウエハ処理装置とともに使用するための自動化ウエハバッファ
KR100880291B1 (ko) 자동 재료 핸들링 시스템
US6493961B2 (en) Processing unit for a substrate
JP4729237B2 (ja) 材料搬送システム乃至方法、搬入ポートモジュール
KR100932961B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR20050010944A (ko) 수직 캐로셀과 오버헤드 호이스트의 조합을 기본으로 하는반도체 제조를 위한 자동화 재료 처리 시스템
KR100532584B1 (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조방법
JP5333997B2 (ja) 自動材料搬送システム、及び自動材料搬送システムを操作する方法
KR101799217B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
JP4709912B2 (ja) 基板処理方法および半導体装置の製造方法
KR102397848B1 (ko) 스토커, 이를 포함하는 이송 시스템
JP2008270266A (ja) 基板処理装置
JPH1167866A (ja) 半導体製造装置
KR100515775B1 (ko) 고온 공정용 반도체 제조장치
KR20100113625A (ko) 베어 스토커용 자동 취급 버퍼
KR20220060485A (ko) 반송차
EP0596536A1 (en) Transport system and method of using same
JPH10297710A (ja) キャリア搬送装置
JPH04113650A (ja) 熱処理工程におけるアンローディング方法及び熱処理装置
JPH05109867A (ja) 機械式インターフエース装置
KR20000046819A (ko) 반도체 웨이퍼 반송용 컨베이어

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid