JP2000511710A - 半導体ウェーハのプロセス装置 - Google Patents

半導体ウェーハのプロセス装置

Info

Publication number
JP2000511710A
JP2000511710A JP10533342A JP53334298A JP2000511710A JP 2000511710 A JP2000511710 A JP 2000511710A JP 10533342 A JP10533342 A JP 10533342A JP 53334298 A JP53334298 A JP 53334298A JP 2000511710 A JP2000511710 A JP 2000511710A
Authority
JP
Japan
Prior art keywords
processing
processing chamber
reactor
semiconductor wafer
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10533342A
Other languages
English (en)
Other versions
JP3403207B2 (ja
Inventor
グランネマン,エルンスト・ヘンドリク・アウグスト
ハスパー,アルベルト
ジンガー,ジヤン
Original Assignee
エイエスエム・インターナシヨナル・エヌ・ブイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム・インターナシヨナル・エヌ・ブイ filed Critical エイエスエム・インターナシヨナル・エヌ・ブイ
Publication of JP2000511710A publication Critical patent/JP2000511710A/ja
Application granted granted Critical
Publication of JP3403207B2 publication Critical patent/JP3403207B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 分配用システムへの連結を除いて完全に閉鎖された少なくも1個の処理室を備えた半導体ウェーハの処理装置である。前記少なくも1個の処理室内に、好ましくは2個のリアクタ、及び選択的にボート内に配置されたウェーハに同じ処理作業を受けさせるための共通の供給/取出し用システムが置かれる。

Description

【発明の詳細な説明】 半導体ウェーハのプロセス装置 本発明は、請求項1の前文による装置に関する。 本発明は、少なくも1個の処理室を備えた半導体ウェーハのプロセス装置であ って、気密システム、リアクタ、並びに半導体ウェーハを前記リアクタに送りそ してここから取り出すために前記少なくも1個の処理室内に配置された供給及び 取出し用手段を有する前記プロセス装置に関する。 この形式の装置は、出願人名による米国特許5407449号より知られる。 この装置においては、幾つかの処理室が、中央に配置された分配用システム又は ロボット構造の周りに配列される。半導体ウェーハは、この中央に配置されたロ ボットから、各処理室内に配置されたターンテーブル上に送られる。一般に、こ れは、ある一つの処理室内のボートに、又はターンテーブル内に置かれた半導体 ウェーハを別の処理室内のターンテーブル上に置かれたボートに輸送することに より行われる。 特定物質のドーピング及び高温における層(の部分の)除去及び/又は形成の ような処理作業は各処理室内で行われる。この際、次の処理作業の実施より前に 、管理された条件下でウェーハを冷却できることが必要である。この種の冷却は 問題の処理室内で行うことができるが、別の処理室で行うことも等しく可能であ る。 本技術においては、集積度を更に上げるために半導体ウェーハを更に大きくす る不変の希望がある。その結果、特に小さいバッチについてはボート内の一連の 半導体ウェーハの価値が定常的に増加し、特定の処理作業の失敗に伴う財務上の 危険が定常的に大きくなっている。更に、半 導体ウェーハ処理用の装置の容量の増大が要求される。 従来技術における一つの提案は、各が閉ざされかつ各が同じ処理作業を行う異 なった処理室を設けることを含む。これは、ある特定のシリーズの半導体ウェー ハが処理室に送られ、ここである処理作業を受け、同時に、別のシリーズが別の 処理室に送られ、ここで同じ処理作業を受けることを意味する。これは、共通の ロボット又は共通の分配用システム及びシステムへの共通の供給及び取出し機構 の使用を可能とするので、初期費用の節約を提供する。しかし、この種のシステ ムは、ある場合には、処理室内における半導体ウェーハの滞在時間が比較的長く 、多くの用途において、分配用システム、特にその中に配置されたロボットの容 量がこの種の装置の容量における隘路を形成することが見いだされている。 この種のシステムにおいては、処理すべき半導体ウェーハのあるボートを「待 ち位置」に置くことにより、この種の処理室内に3個の位置が設けられ、これに より、最適の利用を達成することができる。このことは、ターンテーブル上に3 個のボートがあることを意味する。この数をより大きくできることが理解される であろう。 米国特許5464313号は、1個の線形作動システムによりウェーハのボー トが提供される2個の隣接したオーブンを備えた独立型オーブン組立体を明らか にする。この構造は空間節約型のものでなく、かつ米国特許5407449号に 説明されたような小型のクラスター構造においては使用できない。 本発明の目的は、分配用システムを延長させる必要なしに、かつ問題の床面積 をできるだけ有効に使用して上述の装置の処理容量を更に増加 させることである。これは、装置が一般にクリーンルーム内に配列されるであろ うため重要である。 この目的は、上述のような装置の場合、請求項1の特徴とする機能の手段によ りに達成される。 驚くことに、1個の処理室内に2個のリアクタを配置すること、即ち、分配用 システムにより分離されないことにより、床面積を実質的に拡大することなしに 容量を相当に増加させ得ることが見いだされた。更に、リアクタを別に設けるこ とが不必要であり、従って費用を下げられる。この新規な考えは、選択的に、例 えば米国特許5407449号から知られるような更なる処理ステーションと組 み合わせることができる。本発明により、ウェーハを、問題の処理室のターンテ ーブル上のカセットに送ったとき、好ましくは、半導体ウェーハの第1のシリー ズは第1のリアクタで処理され、半導体ウェーハの第2のシリーズは第2のリア クタで処理される。この場合、これら2個のリアクタにおける処理作業は同じと することができる。処理室は、米国特許5407449号より知られたターンテ ーブル構造を使用して装填することができる。即ち、ボート用の3個の位置を有 するターンテーブルの使用が可能である。この場合、冷却が重要であるならば、 半導体ウェーハをリアクタから取り出し得るより前に、これらの待ち時間のかな りの部分を減らすことができる。一方、カセットに対して4個の位置を設けるこ とにより、これを解決することができるが、別の事例においてはこれは重要では ない。それは、冷却時間と処理時間との比率は、処理時間が冷却時間の半分以上 であり、従って冷却された半導体ウェーハを処理室外に取り出し、これを処理す べきウェーハと置換するに十分な時間があるためである。 リアクタは適宜の形式のリアクタとすることができるが、2個の処理用オーブ ンを備えることが好ましい。本発明によるアイデアはリアクタが3個以上ある処 理室に拡張できることが理解されるであろう。この場合、ターンテーブルは3個 以上の位置を持たねばならず、又はターンテーブルを有する他の構造を別に設計 することが必要であろう。 米国特許5407449号におけるような上述された構造においては、ターン テーブルに加えて、関連のカセットをターンテーブルから取り出して、これをリ アクタ内に導入し、次いでこれをリアクタから再び取り出すために、各リアクタ 用のリフト手段もある。 本発明は、図面に示された実施例を参照、以下なお詳細に説明されるであろう 。 図1は従来技術による装置の線図的な平面図を示す。 図2は本発明による構造を組み入れた修正版の図1による装置の部分の線図的 な平面図を示す。 図3は図2による装置の部分の斜視図を示す。 図4a−cは本発明による処理室への装填及び取出しの方法を示す。 図5a−cは本発明による装置の第1の変更例の機能を示す。 図6a−cは図5と同じ変更例の別な可能な機能を示す。 図1に示された装置は、一般に用語半導体ウェーハで呼ばれている微小回路を 含んだウェーハを処理するためのものである。これら半導体ウェーハは、その表 面に集積回路を形成するために多くの処理を受け、その後で、集積回路はウェー ハから切り出され、更に処理される。 図1に示された装置1の使用により、この種のウェーハ上に、3種の、恐らく は連続した処理作業を行うことができる。 ウェーハは、図1の平面図において、供給ステーション2内に番号9で示され たカセット内で輸送されることが普通である。供給ステーション2はターンテー ブル4を備え、これにおいては、この種のカセットが6個ずつ3種の異なった高 さに置かれ、従ってこのターンテーブル4は全部で18個のカセットを備えるこ とができる。 供給ユニット2は、壁3を介してクリーンルームに連結され、このクリーンル ーム内では、雰囲気はダストが極めて少ない状態に維持される。カセット9は、 これを入口点5を経てターンテーブル4の上に置くことができる。入口点5は特 別な供給ユニット(図示せず)に接続され、このユニットは気密機構を備えて、 カセットを入れるときに、望ましくな気体、特に酸素が装置1に確実に入らない ようにする。 図1より明らかなように、ユニット2は、少なくも部分的に正多角形の周囲の 断面を持ち、即ち、図1のユニット2の3個の壁が八角形断面の部分を形成する 。処理ステーション10、11及び12は、同様に少なくも部分的に正多角形の 周囲の断面を持つ。ユニット2、10、11及び12は、正多角形の周囲の辺7 のような辺の区域で他のユニットと連結された個別ユニットとして設計される。 装置1において、中央ユニットは、ロボットユニットを備えた分配用ユニット 8により形成される。このロボットユニット8は、処理ステーション10、11 及び12において、カセット9からウェーハ13を取り出して、これをボートの ようなウェーハ用のキャリヤー内に置くことができる。処理作業の後、ロボット 8が処理済みウェーハを処理ステーションのボートから再び取り出して、これを 、次の作業ステーション又はターンテーブル4の手段により特定の位置に置かれ ている空のカセッ ト9内に置くことができる。処理されたウェーハ13を有するカセット9は、出 口6を経て供給ステーション2から取り出すことができる。出口は、例えば入口 点5と同じ方法で気密機構を持った別の出口ステーションを備えることができる 。 処理室10、11、12の各は、図の平面の上方に設けられるリアクタ(より 詳細には示されない)を備える。これは、半導体ウェーハの入っているボートを 、ターンテーブル33からオーブン(より詳細には図示されない)のようなリア クタ内に輸送するリフト装置17の上方に配列される。 このことは、ボートがロボット8と向かい合って置かれ、このボートは、ユニ ット2の関連のカセット9又は先行の処理室の一つからきたウェーハで満たされ る位置から出発することを意味する。問題のボートが満たされた後、テーブル3 3が一位置だけ回され、これが問題のボートをリフト手段17と組み合わせ、そ してオーブン内に置くことができる。オーブンにおいて処理された後、問題のボ ートはテーブル33上に戻され、内部に置かれた半導体ウェーハを冷却するため に更に1位置だけ送られる。同時に、半導体ウェーハの次のボートはリアクタ内 に装填される。次の回転の後、少なくも部分的に冷却された半導体ウェーハを入 れたボートはロボット8の正面に置かれ、ユニット2のカセット内、又は次の処 理室のボート内に降ろされる。 本発明により、この種の装置を使って処理時間を最適化すること、即ち、多数 のウェーハに、所与の利用可能な床面積で同じ処理をなし得ることが提案される 。同じ分配用システム、即ちロボット8を含んだ構造及び同じ入口システムを使 用することを可能とする。本発明による提案 は、図2に図示される。この図面においては、本発明による装置はまとめて21 で示され、また出入口は25で示される。ウェーハ13の入っているカセット9 は装置内に導かれ、そしてクリーンルームからの仕切りは23で示される。図1 によるテーブル4と比較し得るテーブルは、ここでは24で示され、そしてこの テーブルによりカセットは気密機構システム18と向かい合って置かれ、ここに は図1と同様にロボット8がある。図2において、2個の処理室29と30とだ けが示され、処理室29は線図的に示されるだけである。例えば図1において示 されたように、もっと多くの処理室が有り得ることを理解すべきである。形式を 改めることにより、かなり多くの数の処理ステーションを使うことができる。 さて、処理室30の設計を説明することとする。そのために、図3も参照する 。この図面から、従来技術と対照して2個のリアクタ31及び32が設けられる ことを見ることができる。更に、線図的にのみ示される2個のリフト装置34及 び35がある。室は共通ターンテーブル33により供給され、このターンテーブ ルは、従来技術におけると同様に、ボート受入れ用の3位置しか持たない。 ボートA、B及びCにおけるカセット受入れの進行が図4a−cに例示され、 テーブル33の回転方向は矢印で示されている。 冷却時間が処理中で比較的大きい役割を果たす場合は、ボートを受け入れるた めの4個の位置を持ったターンテーブルを提供することが可能である。かかる場 合は、図4a−cにおけるような一連の処理作業は変更されるであろう。 種々のリアクタの運転のために多くの変更、特にボート内に配列され る半導体ウェーハの供給及び取出しににおける変更が可能であることが、本技術 の熟練者に明らかであろう。 オーブン31及び32において同じ処理作業が行われることが好ましいがこれ らのオーブンにおいて異なった作業を行うことを考えることができる。 以上から、オーブン32にようなリアクタを単に追加しかつリフト装置35と 組み合わせることにより、装置の面積を実質的に広げることなくかつ費用を増加 させる技術的に更なる複雑な方法を要することなく処理室の容量をかなり大きく することができる。 図5及び6は、本発明による装置の変更例を線図的に示し、この場合も、2個 のリアクタ31及び32が設けられる。先に示された設計と比較して、ターンテ ーブル33は4位置を有し、そして矢印は、種々のボートの運動をいかに具体化 するかを線図的に示す。ここでは、図4a−cについての上の説明が参照される 。 上述の本発明は、述べられた変更例には限定されず、以上の説明を読んだ熟練 技術者の想起し得る設計及び請求項の範囲内の設計も含む。
【手続補正書】特許法第184条の8第1項 【提出日】平成11年2月2日(1999.2.2) 【補正内容】 明細書 半導体ウェーハのプロセス装置 本発明は、請求項1の前文による装置に関する。 本発明は、少なくも1個の処理室を備えた半導体ウェーハのプロセス装置であ って、気密システム、リアクタ、並びに半導体ウェーハを前記リアクタに送りそ してここから取り出すために前記少なくも1個の処理室内に配置された供給及び 取出し用手段を有する前記プロセス装置に関する。 この形式の装置は、出願人名による米国特許5407449号より知られる。 この装置においては、幾つかの処理室が、中央に配置された分配用システム又は ロボット構造の周りに配列される。半導体ウェーハは、この中央に配置されたロ ボットから、各処理室内に配置されたターンテーブル上に送られる。一般に、こ れは、供給ステーションにおけるカセット内に配置され、又は一つの処理室内の ターンテーブル上のボート内に配置された半導体ウェーハを、別の処理室内のタ ーンテーブル上に置かれたボートに輸送することにより行われる。 特定物質のドーピング及び高温における層(の部分の)除去及び/又は形成の ような処理作業は各処理室内で行われる。この際、次の処理作業の実施より前に 、管理された条件下でウェーハを冷却できることが必要である。この種の冷却は 問題の処理室内で行うことができるが、別の処理室で行うことも等しく可能であ る。 本技術においては、集積度を更に上げるために半導体ウェーハを更に大きくす る不変の希望がある。その結果、特に小さいバッチについてはボート内の一連の 半導体ウェーハの価値が定常的に増加し、特定の処理 作業の失敗に伴う財務上の危険が定常的に大きくなっている。更に、半導体ウェ ーハ処理用の装置の容量の増大が要求される。 従来技術における一つの提案は、各が閉ざされかつ各が同じ処理作業を行う異 なった処理室を設けることを含む。これは、ある特定のシリーズの半導体ウェー ハが処理室に送られ、ここである処理作業を受け、同時に、別のシリーズが別の 処理室に送られ、ここで同じ処理作業を受けることを意味する。これは、共通の ロボット又は共通の分配用システム及びシステムへの共通の供給及び取出し機構 の使用を可能とするので、初期費用の節約を提供する。しかし、この種のシステ ムは、ある場合には、処理室内における半導体ウェーハの滞在時間が比較的長く 、多くの用途において、分配用システム、特にその中に配置されたロボットの容 量がこの種の装置の容量における隘路を形成することが見いだされている。 この種のシステムにおいては、処理すべき半導体ウェーハのあるボートを「待 ち位置」に置くことにより、この種の処理室内に3個の位置が設けられ、これに より、最適の利用を達成することができる。このことは、ターンテーブル上に3 個のボートがあることを意味する。この数をより大きくできることが理解される であろう。 米国特許5464313号は、1個の線形作動システムによりウェーハのボー トが提供される2個の隣接したオーブンを備えた独立型オーブン組立体を明らか にする。この構造は空間節約型のものでなく、かつ米国特許5407449号に 説明されたような小型のクラスター構造においては使用できない。 本発明の目的は、分配用システムを延長させる必要なしに、かつ問題 の床面積をできるだけ有効に使用して上述の装置の処理容量を更に増加させるこ とである。これは、装置が一般にクリーンルーム内に配列されるであろうため重 要である。 この目的は、上述のような装置の場合、請求項1の特徴とする機能の手段によ りに達成される。 驚くことに、1個の処理室内に2個のリアクタを配置すること、即ち、分配用 システムにより分離されないことにより、床面積を実質的に拡大することなしに 容量を相当に増加させ得ることが見いだされた。更に、リアクタを別に設けるこ とが不必要であり、従って費用を下げられる。この新規な考えは、選択的に、例 えば米国特許5407449号から知られるような更なる処理ステーションと組 み合わせることができる。本発明により、ウェーハを、問題の処理室のターンテ ーブル上のボートに送ったとき、好ましくは、半導体ウェーハの第1のシリーズ は第1のリアクタで処理され、半導体ウェーハの第2のシリーズは第2のリアク タで処理される。この場合、これら2個のリアクタにおける処理作業は同じとす ることができる。処理室は、米国特許5407449号より知られたターンテー ブル構造を使用して装填することができる。即ち、ボート用の3個の位置を有す るターンテーブルの使用が可能である。この場合、冷却が重要であるならば、半 導体ウェーハをリアクタから取り出し得るより前に、これらの待ち時間のかなり の部分を減らすことができる。一方、カセットに対して4個の位置を設けること により、これを解決することができるが、別の事例においてはこれは重要ではな い。それは、冷却時間と処理時間との比率は、処理時間が冷却時間の半分以上で あり、従って冷却された半導体ウェーハを処理室外に取り出し、これを処理す べきウェーハと置換するに十分な時間があるためである。 リアクタは適宜の形式のリアクタとすることができるが、2個の処理用オーブ ンを備えることが好ましい。本発明によるアイデアはリアクタが3個以上ある処 理室に拡張できることが理解されるであろう。この場合、ターンテーブルは3個 以上の位置を持たねばならず、又はターンテーブルを有する他の構造を別に設計 することが必要であろう。 米国特許5407449号におけるような上述された構造においては、ターン テーブルに加えて、関連のボートをターンテーブルから取り出して、これをリア クタ内に導入し、次いでこれをリアクタから再び取り出すために、各リアクタ用 のリフト手段もある。 本発明は、図面に示された実施例を参照、以下なお詳細に説明されるであろう 。 図1は従来技術による装置の線図的な平面図を示す。 図2は本発明による構造を組み入れた修正版の図1による装置の部分の線図的 な平面図を示す。 図3は図2による装置の部分の斜視図を示す。 図4a−cは本発明による処理室への装填及び取出しの方法を示す。 図5a−cは本発明による装置の第1の変更例の機能を示す。 図6a−cは図5と同じ変更例の別な可能な機能を示す。 図1に示された装置は、一般に用語半導体ウェーハで呼ばれている微小回路を 含んだウェーハを処理するためのものである。これら半導体ウェーハは、その表 面に集積回路を形成するために多くの処理を受け、その後で、集積回路はウェー ハから切り出され、更に処理される。 図1に示された装置1の使用により、この種のウェーハ上に、3種の、 恐らくは連続した処理作業を行うことができる。 ウェーハは、図1の平面図において、供給ステーション2内に番号9で示され たカセット内で輸送されることが普通である。供給ステーション2はターンテー ブル4を備え、これにおいては、この種のカセットが6個ずつ3種の異なった高 さに置かれ、従ってこのターンテーブル4は全部で18個のカセットを備えるこ とができる。 供給ユニット2は、壁3を介してクリーンルームに連結され、このクリーンル ーム内では、雰囲気はダストが極めて少ない状態に維持される。カセット9は、 これを入口点5を経てターンテーブル4の上に置くことができる。入口点5は特 別な供給ユニット(図示せず)に接続され、このユニットは気密機構を備えて、 カセットを入れるときに、望ましくな気体、特に酸素が装置1に確実に入らない ようにする。 図1より明らかなように、ユニット2は、少なくも部分的に正多角形の周囲の 断面を持ち、即ち、図1のユニット2の3個の壁が八角形断面の部分を形成する 。処理ステーション、1011及び12は、同様に少なくも部分的に正多角形の 周囲の断面を持つ。ユニット2、10、11及び12は、正多角形の周囲の辺7 のような辺の区域で他のユニットと連結された個別ユニットとして設計される。 装置1において、中央ユニットは、ロボットユニットを備えた分配用ユニット 8により形成される。このロボットユニット8は、処理ステーション10、11 及び12において、カセット9からウェーハ13を取り出して、これをボートの ようなウェーハ用のキャリヤー内に置くことができる。処理作業の後、ロボット 8が処理済みウェーハを処理ステーションのボートから再び取り出して、これを 、次の作業ステーション又 はターンテーブル4の手段により特定の位置に置かれている空のカセット9内に 置くことができる。処理されたウェーハ13を有するカセット9は、出口6を経 て供給ステーション2から取り出すことができる。出口は、例えば入口点5と同 じ方法で気密機構を持った別の出口ステーションを備えることができる。 処理室10、11、12の各は、図の平面の上方に設けられるリアクタ(より 詳細には示されない)を備える。これは、半導体ウェーハの入っているボートを 、ターンテーブル33からオーブン(より詳細には図示されない)のようなリア クタ内に輸送するリフト装置17の上方に配列される。 このことは、ボートがロボット8と向かい合って置かれ、このボートは、ユニ ット2の関連のカセット9又は先行の処理室の一つからきたウェーハで満たされ る位置から出発することを意味する。問題のボートが満たされた後、テーブル3 3が一位置だけ回され、これが問題のボートをリフト手段17と組み合わせ、そ してオーブン内に置くことができる。オーブンにおいて処理された後、問題のボ ートはテーブル33上に戻され、内部に置かれた半導体ウェーハを冷却するため に更に1位置だけ送られる。同時に、半導体ウェーハの次のボートはリアクタ内 に装填される。次の回転の後、少なくも部分的に冷却された半導体ウェーハを入 れたボートはロボット8の正面に置かれ、ユニット2のカセット内、又は次の処 理室のボート内に降ろされる。 本発明により、この種の装置を使って処理時間を最適化すること、即ち、多数 のウェーハに、所与の利用可能な床面積で同じ処理をなし得ることが提案される 。同じ分配用システム、即ちロボット8を含んだ構造 及び同じ入ロシステムを使用することを可能とする。本発明による提案は、図2 に図示される。この図面においては、本発明による装置はまとめて21で示され 、また出入口は25で示される。ウェーハ13の入っているカセット9は装置内 に導かれ、そしてクリーンルームからの仕切りは23で示される。図1によるテ ーブル4と比較し得るテーブルは、ここでは24で示され、そしてこのテーブル によりカセットは気密機構システム18と向かい合って置かれ、ここには図1と 同様にロボット8がある。図2において、2個の処理室29と30とだけが示さ れ、処理室29は線図的に示されるだけである。例えば図1において示されたよ うに、もっと多くの処理室が有り得ることを理解すべきである。形式を改めるこ とにより、かなり多くの数の処理ステーションを使うことができる。 さて、処理室30の設計を説明することとする。そのために、図3も参照する 。この図面から、従来技術と対照して2個のリアクタ31及び32が設けられる ことを見ることができる。更に、線図的にのみ示される2個のリフト装置34及 び35がある。室は共通ターンテーブル33により供給され、このターンテーブ ルは、従来技術におけると同様に、ボート受入れ用の3位置しか持たない。 ボートA、B及びCにおけるカセット受入れの進行が図4a−cに例示され、 テーブル33の回転方向は矢印で示されている。 冷却時間が処理中で比較的大きい役割を果たす場合は、ボートを受け入れるた めの4個の位置を持ったターンテーブルを提供することが可能である。かかる場 合は、図4a−cにおけるような一連の処理作業は変更されるであろう。 種々のリアクタの運転のために多くの変更、特にボート内に配列される半導体 ウェーハの供給及び取出しににおける変更が可能であることが、本技術の熟練者 に明らかであろう。 オーブン31及び32において同じ処理作業が行われることが好ましいがこれ らのオーブンにおいて異なった作業を行うことを考えることができる。 以上から、オーブン32にようなリアクタを単に追加しかつリフト装置35と 組み合わせることにより、装置の面積を実質的に広げることなくかつ費用を増加 させる技術的に更なる複雑な方法を要することなく処理室の容量をかなり大きく することができる。 図5及び6は、本発明による装置の変更例を線図的に示し、この場合も、2個 のリアクタ31及び32が設けられる。先に示された設計と比較して、ターンテ ーブル33は4位置を有し、そして矢印は、種々のボートの運動をいかに具体化 するかを線図的に示す。ここでは、図4a−cについての上の説明が参照される 。 上述の本発明は、述べられた変更例には限定されず、以上の説明を読んだ熟練 技術者の想起し得る設計及び請求項の範囲内の設計も含む。 請求の範囲 1.処理室(24、29、30)であって、ウェーハを、貯蔵所又は別の処理 室から前記処理室内のターンテーブル(33)上のボートに、又はその逆方向に 輸送するための中央分配用システム(8)を有する前記処理室を備え、前記貯蔵 所又は別の処理室に関し及び中央分配用システムに関して前記処理室を閉鎖する ことが可能であり、更にリアクタ(31)、並びに前記半導体ウェーハを有する ボートを前記ターンテーブルから前記処理室(30)内に配列された前記リアク タ内に送るための供給及び取出し手段(33、34)を備えた半導体ウェーハ( 13)の処理装置(21)において、少なくも第2のリアクタが前記処理室(3 0)内に配置され、この第2のリアクタには、半導体ウェーハを、前記ターンテ ーブルから前記第2のリアクタ内に及びその逆方向で輸送するための第2の供給 及び取出し手段(35)が設けられることを特徴とする半導体ウェーハ(13) の処理装置(21)。 2.前記リアクタが同じ処理を行うように設計された請求項1による装置。 3.前記リアクタがオーブンを備える先行請求項の一つによる装置。 4.ターンテーブル(33)が、一方では、(カセット内の)半導体ウェーハ を中央分配用システム(18)から受け取りそしてこれらを中央分配用システム (18)に送り、他方では、ボート内のウェーハを各リアクタ用の導入手段(3 4、35)に送りそしてここから受け取る先行請求項の一つによる装置。 5.前記ターンテーブルが半導体ウェーハ及び/又はカセットを受け取るため の3個の位置をその上に有する請求項4による装置。 6.気密機構システムにより連結し得る少なくも2個の処理室(29、30) を備える先行請求項の一つによる装置。 7.各処理室が少なくも2個のリアクタを備える請求項6による装置。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/324 H01L 21/302 B (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(GH,GM,KE,LS,M W,SD,SZ,UG,ZW),EA(AM,AZ,BY ,KG,KZ,MD,RU,TJ,TM),AL,AM ,AT,AU,AZ,BA,BB,BG,BR,BY, CA,CH,CN,CU,CZ,DE,DK,EE,E S,FI,GB,GE,GH,GM,GW,HU,ID ,IL,IS,JP,KE,KG,KP,KR,KZ, LC,LK,LR,LS,LT,LU,LV,MD,M G,MK,MN,MW,MX,NO,NZ,PL,PT ,RO,RU,SD,SE,SG,SI,SK,SL, TJ,TM,TR,TT,UA,UG,US,UZ,V N,YU,ZW (72)発明者 ジンガー,ジヤン オランダ・エヌエル―7191ビーデイ ドウ インゲロー・バルデルセベーク15

Claims (1)

  1. 【特許請求の範囲】 1.処理室(24、29、30)であって、ウェーハを、貯蔵所又は別の処理 室から前記処理室に、又はその逆方向に輸送するための分配用システム(18) を有する前記処理室を備え、前記貯蔵所又は別の処理室に関し及び中央分配用シ ステムに関して前記処理室を閉鎖することが可能であり、更にリアクタ(31) 、並びに前記半導体ウェーハを前記処理室(30)内に配列された前記リアクタ に送りそしてここから取り出すための供給及び取出し手段(33、34)を備え 、少なくも第2のリアクタが前記処理室(30)内に配置され、この第2のリア クタには、半導体ウェーハを輸送するための第2の供給及び取出し手段(35) が設けられ、前記第1及び第2の取出し手段は前記半導体ウェーハを平行に供給 しそして取り出すように設計されていることを特徴とする半導体ウェーハ(13 )の処理装置(21)。 2.前記リアクタが同じ処理を行うように設計された請求項1による装置。 3.前記リアクタがオーブンを備える先行請求項の一つによる装置。 4.前記第1及び第2の供給及び取出し手段がターンテーブル(33)を備え 、これは、一方では、(カセット内の)半導体ウェーハを分配用システム(18 )から受け取りそしてこれらを分配用システム(18)に送り、他方では、(ボ ート内の)ウェーハを各リアクタ用の導入手段(34、35)に送りそしてここ から受け取る先行請求項の一つによる装置。 5.前記ターンテーブルが半導体ウェーハ及び/又はカセットを受け取るため の3個の位置をその上に有する請求項4による装置。 6.気密機構システムにより連結し得る少なくも2個の処理室(29、30) を備える先行請求項の一つによる装置。 7.各処理室が少なくも2個のリアクタを備える請求項6による装置。
JP53334298A 1997-01-27 1998-01-27 半導体ウェーハのプロセス装置 Expired - Lifetime JP3403207B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1005102 1997-01-27
NL1005102A NL1005102C2 (nl) 1997-01-27 1997-01-27 Inrichting voor het behandelen van halfgeleiderschijven.
PCT/NL1998/000055 WO1998036444A1 (en) 1997-01-27 1998-01-27 Device for processing semiconductor wafers

Publications (2)

Publication Number Publication Date
JP2000511710A true JP2000511710A (ja) 2000-09-05
JP3403207B2 JP3403207B2 (ja) 2003-05-06

Family

ID=19764285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53334298A Expired - Lifetime JP3403207B2 (ja) 1997-01-27 1998-01-27 半導体ウェーハのプロセス装置

Country Status (9)

Country Link
US (1) US6607602B1 (ja)
EP (1) EP0960434B1 (ja)
JP (1) JP3403207B2 (ja)
KR (1) KR100411968B1 (ja)
AU (1) AU5682898A (ja)
DE (1) DE69804944T2 (ja)
NL (1) NL1005102C2 (ja)
TW (1) TW386242B (ja)
WO (1) WO1998036444A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222804A (ja) * 2001-01-25 2002-08-09 Tokyo Electron Ltd 熱処理方法及び熱処理装置
JP2015074818A (ja) * 2013-10-11 2015-04-20 Dowaサーモテック株式会社 浸炭焼入れ設備

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
NL1013989C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van een wafer.
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
US6860710B1 (en) * 2002-08-30 2005-03-01 Novellus Systems, Inc. Lifting mechanism for integrated circuit fabrication systems
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
DE202006007937U1 (de) * 2006-05-18 2007-09-20 Strämke, Siegfried, Dr.-Ing. Plasmabehandlungsanlage
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222804A (ja) * 2001-01-25 2002-08-09 Tokyo Electron Ltd 熱処理方法及び熱処理装置
JP2015074818A (ja) * 2013-10-11 2015-04-20 Dowaサーモテック株式会社 浸炭焼入れ設備

Also Published As

Publication number Publication date
DE69804944T2 (de) 2002-11-07
KR20000070506A (ko) 2000-11-25
EP0960434A1 (en) 1999-12-01
KR100411968B1 (ko) 2003-12-24
US6607602B1 (en) 2003-08-19
JP3403207B2 (ja) 2003-05-06
DE69804944D1 (de) 2002-05-23
EP0960434B1 (en) 2002-04-17
WO1998036444A1 (en) 1998-08-20
NL1005102C2 (nl) 1998-07-29
AU5682898A (en) 1998-09-08
TW386242B (en) 2000-04-01

Similar Documents

Publication Publication Date Title
JP2000511710A (ja) 半導体ウェーハのプロセス装置
JP2001519095A (ja) カセットから炉へのウエーハ移送用のシステム及び方法
US6481945B1 (en) Method and device for transferring wafers
US20080075562A1 (en) Processing system with increased cassette storage capacity
JP2020188255A (ja) ウェハボートハンドリング装置、縦型バッチ炉および方法
JP4575647B2 (ja) 炉内でウェハをバッチ処理するための方法および装置
US6487793B2 (en) Vacuum processing apparatus and operating method therefor
US6802934B2 (en) Processing apparatus
JP2002502112A (ja) ウェファー処理用システム
JP2968742B2 (ja) 自動保管棚及び自動保管方法
US4955775A (en) Semiconductor wafer treating apparatus
JP4308975B2 (ja) 基板処理装置、基板処理方法及び半導体素子の形成方法
KR101883032B1 (ko) 기판 열 처리 장치, 기판 열 처리 장치의 설치 방법
JP3522796B2 (ja) 半導体製造装置
KR100961583B1 (ko) 종형 열처리 장치 및 그 운용 방법
JPH0697262A (ja) 半導体ウエハ搬送装置
JP4229497B2 (ja) 基板処理装置および基板の処理方法
KR100515775B1 (ko) 고온 공정용 반도체 제조장치
JP2006245130A (ja) 中継ステーション及び中継ステーションを用いた基板処理システム
JPH0237742A (ja) 半導体装置の製造装置
CN220456371U (zh) 前开式晶圆传送盒的多层储存及装载系统
US20020153578A1 (en) Wafer buffering system
JPH03225847A (ja) ウエハカセツトストツカ
KR20100113625A (ko) 베어 스토커용 자동 취급 버퍼
KR20150089924A (ko) 기판 열처리 장치, 기판 열처리 장치의 설치 방법

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090228

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090228

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100228

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100228

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130228

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130228

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140228

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term