KR20000070506A - 반도체 웨이퍼 처리용 장치 - Google Patents

반도체 웨이퍼 처리용 장치 Download PDF

Info

Publication number
KR20000070506A
KR20000070506A KR1019997006750A KR19997006750A KR20000070506A KR 20000070506 A KR20000070506 A KR 20000070506A KR 1019997006750 A KR1019997006750 A KR 1019997006750A KR 19997006750 A KR19997006750 A KR 19997006750A KR 20000070506 A KR20000070506 A KR 20000070506A
Authority
KR
South Korea
Prior art keywords
processing chamber
processing
semiconductor wafer
wafers
reactor
Prior art date
Application number
KR1019997006750A
Other languages
English (en)
Other versions
KR100411968B1 (ko
Inventor
그랑네만어니스트헨드릭어고스트
하스퍼알버트
진저잔
Original Assignee
에릭 야코부스 라이니에르 카메르비크
에이에스엠 인터내쇼날 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에릭 야코부스 라이니에르 카메르비크, 에이에스엠 인터내쇼날 엔.브이. filed Critical 에릭 야코부스 라이니에르 카메르비크
Publication of KR20000070506A publication Critical patent/KR20000070506A/ko
Application granted granted Critical
Publication of KR100411968B1 publication Critical patent/KR100411968B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 반도체 웨이퍼 처리용 장치는 분배 시스템으로의 접속을 제외하면 완전히 밀폐된 적어도 하나의 처리 챔버를 포함한다. 상기 적어도 하나의 처리 챔버에서, 선택적으로 보트내에 정렬될 수 있는 웨이퍼에 동일한 처리 동작을 수행할 수 있도록 바람직하게는 2개의 리액터와 공통 공급/제거 시스템이 배치된다.

Description

반도체 웨이퍼 처리용 장치{DEVICE FOR PROCESSING SEMICONDUCTOR WAFERS}
상기 종류의 장치는 본 출원인의 이름으로 US-A-5,407,449 호에 공지되어 있다. 이 장치에서, 다수의 처리 챔버가 중앙에 배치된 분배 시스템 또는 로봇 구조 주변에 정렬된다. 이러한 중앙에 배치된 로봇으로부터, 반도체 웨이퍼는 각 처리 챔버내에 위치된 턴테이블상에 전달된다. 일반적으로, 이는 공급부내의 카세트에 또는 하나의 처리 챔버의 턴테이블상의 보트에 정렬된 반도체 웨이퍼를 다른 처리 챔버의 턴테이블상에 정렬된 보트로 전달함으로써 이루어진다.
처리 동작은 각 챔버내에서 높은 온도에서 특정 물질을 도핑하고 층들(층들의 일부)을 제거하거나/제거하고 적층하는 것과 같은 처리 동작이 각 처리 챔버내에서 수행된다. 다른 처리 동작을 수행하기 전에 제어된 조건하에서 웨이퍼를 냉각시킬 필요가 있다. 이와 같은 냉각은 상기 처리 챔버내측에서 이루어지지만, 다른 처리 챔버내에서도 동일하게 이루어질 수 있다.
관련 기술 분야에서, 반도체 웨이퍼를 더욱 확장시키는 것, 즉, 더욱 대규모의 집적화가 계속해서 요구되고 있다. 결과적으로, 보트내의 반도체 웨이퍼 열의 가격은 특히, 작은 그룹에서 꾸준히 증가하고 있으며, 특정 처리 동작의 고장과 관련된 비용상의 위험도 따라서 증가하고 있다. 또한, 반도체 웨이퍼 처리용 장치의 용량도 증가될 필요가 있다.
종래 기술의 제안중 하나는 각각 폐쇄되어 있으며 동일한 처리 동작을 각각 수행하는 다른 처리 챔버들을 제공하는 것이다. 이는 반도체 웨이퍼의 특정열이 일 처리 챔버에 공급되어, 여기서, 처리 동작이 수행되고, 동시에 반도체 웨이퍼의 다른 열이 다른 처리 챔버에 공급되어 동일한 처리 동작이 수행된다는 것을 의미한다. 이는 공통 로봇 또는 공통 분배 시스템을 사용할 수 있으며 이 시스템으로부터 공통으로 공급하고 제거하는 것이 가능하기 때문에 초기 절감을 제공한다. 이러한 종류의 시스템에서는 어떤 경우에는, 주로, 처리 챔버내에서 반도체 웨이퍼의 유지 시간이 비교적 긴 경우에, 많은 응용에서 분배 시스템의 용량면에서, 특히 그 내부에 정렬된 로봇은 이러한 분배 시스템의 용량에 장애가 된다는 것이 밝혀졌다.
또한, 이러한 종류의 시스템에서 "대기 시간" 중에 처리될 반도체 웨이퍼를 보트와 함께 둠으로써, 이러한 종류의 처리 챔버에 3 개의 위치가 제공되어, 그 결과 최적의 사용 조건이 성취될 수 있다. 이는 3개의 보트가 턴테이블상에 존재한다는 것을 의미한다. 위치의 개수는 더 많아도 무방하다는 것은 이해할 수 있을 것이다.
미국 특허 제 5,464,313 호에는 단일 선형 동작 시스템에 의해 웨이퍼의 보트가 제공된 2개의 인접한 오븐을 포함하는 별도의 오븐 구조물이 개시되어 있다. 이 구조는 공간 절약형 구조는 아니며, 또한 미국 특허 제 5,407,449 호에 개시된바와 같은 콤팩트 클러스터 구조에서 사용될 수 없다.
본 발명은 유지부 또는 다른 처리 챔버로부터 처리 챔버내의 턴테이블상의 보트로 웨이퍼를 전달하거나 또는 그 역으로 웨이퍼를 전달하는 중앙 분배 시스템을 가지며, 상기 유지부 또는 다른 처리 챔버 및 상기 중앙 분배 시스템에 대하여 상기 처리 챔버를 완전히 밀폐시키는 것이 가능한 처리 챔버와, 리액터와, 상기 처리 챔버내에 정렬되어 상기 반도체 웨이퍼를 가진 보트를 상기 턴테이블로부터 상기 리액터로 전달하기 위한 공급 및 제거 수단을 구비한 반도체 웨이퍼 처리용 장치에 관한 것이다.
본 발명은 가스록 시스템(gaslock system)과, 리액터 및, 리액터로 또는 리액터로부터 반도체 웨이퍼를 전달하는 공급 및 제거 수단을 가진 하나 이상의 처리 챔버를 포함하며, 공급 및 제거 수단이 하나 이상의 처리 챔버내에 정렬되는 반도체 웨이퍼 처리용 장치에 관한 것이다.
도 1은 종래 기술에 따른 장치의 개략적 평면도를 도시한다.
도 2는 도 1에 따른 장치의 일부로서 본 발명에 따른 구조를 통합하여 수정한 변형의 개략적 평면도이다.
도 3은 도 2에 따른 장치의 일부의 사시도이다.
도 4a 내지 도 4c 는 본 발명에 따른 처리 챔버를 장착하고 분리시키는 방법을 도시한다.
도 5의 (a)~(c) 는 본 발명에 따른 장치의 제1 변형의 동작을 도시한다.
도 6의 (a)~(d) 는 도 5에 따른 변형과 동일한 변형의 다른 가능한 동작을 도시한다.
본 발명의 목적은 분배 시스템을 연장시킬 필요없이 상기 설명된 장치의 처리 용량을 더욱 증가시키는 동시에 문제의 판 영역을 가능한 효율적으로 사용하는 것이다. 이는 이 장치가 일반적으로 무균실에 설치되기 때문에 중요하다.
상기 목적은 상기 설명된 장치의 경우에 청구항 1항의 특징부에 의해 성취된다.
놀랍게도, 일 처리 챔버, 즉, 분배 시스템에 의해 분리되지 않은 처리 챔버내에 2개의 리액터를 배치하는 것은 판 영역을 실제로 확장시키지 않고 용량을 크게 증가시킬 수 있다는 것이 밝혀졌다. 또한, 리액터용의 별도의 유지부를 제공할 필요가 없으므로, 비용을 절감할 수 있다. 본 발명의 이론은 선택적으로 미국 특허 US-A-5,407,449 호의 예에 공지된 바와 같은, 다른 처리 위치와 결합될 수 있다. 본 발명에 따라서, 반도체 웨이퍼를 문제의 처리 챔버의 턴테이블상의 보트에 공급할 때, 바람직하게는, 반도체 웨이퍼의 제1 열은 제1 리액터에 의해 처리되고, 반도체 웨이퍼의 제2 열은 제2 리액터에 의해 처리되며, 이 경우에, 이들 2 개의 리액터내의 처리 동작은 동일하다. 처리 챔버는 미국 특허 제 5,407,449 호에 공지된 턴테이블 구조를 사용하여 장착될 수 있는데, 즉, 보트에 대해 3개의 위치를 갖는 턴테이블을 사용할 수 있다. 이 경우에, 냉각이 중요하다면, 반도체 웨이퍼의 대기 시간의 대부분은 반도체 웨이퍼가 리액터에서 제거되기 전에 경과된다. 이는 한편으로는, 카세트에 대해 4개의 위치를 가진 턴테이블을 제공함으로써 해결될 수 있지만, 다른 경우에서는, 처리 시간이 냉각 시간의 절반 이상 길도록 냉각 시간과 처리 시간 간의 비율이 설정되므로, 냉각된 반도체 웨이퍼를 처리 챔버 외부로 꺼내고 이 웨이퍼들을 처리될 웨이퍼로 교체하기 위한 시간이 충분하기 때문에 이러한 문제는 중요하지 않다.
리액터는 임의의 형태의 리액터를 포함할 수 있지만, 바람직하게는 2개의 처리 오븐을 포함한다. 본 발명에 따른 이론은 3 개 이상의 리액터를 가진 처리 챔버로 확장될 수 있는 것으로 이해해야 한다. 그러한 경우에, 턴테이블은 3 개의 위치 이상을 갖거나, 턴테이블을 가진 구조는 다르게 설계될 필요가 있다.
명백하게, 미국 특허 5,407,449 호와 같이 상기 설명된 구조에서는, 턴테이블에 더하여, 관련된 보트를 턴테이블로부터 제거하고 이 보트를 리액터에 삽입하며 다시 리액터로부터 보트를 제거하기 위해 각 리액터에 대한 리프팅 수단이 또한 구비되어 있다.
본 발명은 도면에 도시된 예시적인 실시예와 관련하여 하기에 더 상세히 설명된다.
도 1에 도시된 장치는 일반적으로 표현 반도체 웨이퍼(expression semiconductor wafer)로 칭하는, 집적 회로를 포함하는 웨이퍼를 처리하기 위한 것이다. 이러한 반도체 웨이퍼에는 그 표면상에 집적 회로를 형성하기 위해 이후에 웨이퍼를 절단하고 처리하는 것과 같은 다수의 처리가 행해진다.
도 1에 도시된 장치(1)를 사용하는 것은 이러한 종류의 웨이퍼상에서 연속적일 수 있는 3가지의 처리 동작이 수행되도록 한다.
도 1의 평면도에 도시된 공급부(2)내에 있는 복수개의 카세트내로 웨이퍼를 전달하는 것은 일반적이다. 공급부(2)는 이러한 종류의 6개의 카세트가 3가지 다른 레벨에 위치될 수 있는 턴테이블(4)을 포함하고, 이 턴테이블(4)은 전체 18개의 카세트를 포함할 수 있다.
공급 유닛(2)은 웰(3)을 경유하여 매우 낮은 먼지 레벨을 가진 대기가 유지되는 무균실에 연결된다. 카세트(9)는 삽입점(5)을 경유하여 턴테이블(4)상에 위치될 수 있다. 삽입점(5)은 가스록을 포함하는 특정 공급 유닛(미도시)에 연결될 수 있으며, 그러므로 카세트가 삽입될 때 원하지 않는 가스, 특히 산소가 장치(1)내로 유입되지 않도록 한다.
도 1로부터 명백히 알 수 있듯이, 유닛(2)은 단면이 적어도 부분적으로 정 다각형의 외변을 갖는데, 즉, 도 1의 유닛(2)의 3개의 웰은 그 단면이 정다각형의 일부를 형성한다. 처리부(10, 11, 12)도 마찬가지로 단면이 적어도 부분적으로정다각형의 외변을 갖는다. 유닛(2, 10, 11, 12)은 정다각형의 외변의 측면(7)과 같은 측면 영역에서 다른 유닛에 연결되는 별도의 유닛으로 설계된다.
장치(1)에서, 중앙 유닛은 로봇 유닛을 포함하는 분배 유닛(8)에 의해 형성된다. 이 로봇 유닛(8)은 웨이퍼들(13)을 카세트(9)외부로 꺼내어 처리부(10, 11, 12)에서, 보트와 같은, 웨이퍼용 캐리어내에 이 웨이퍼들을 배치한다. 처리 동작후에, 로봇(8)은 처리된 웨이퍼를 처리부의 보트로부터 다시 제거하고 연속적인 처리부내에 또는 턴테이블(4)에 의해 특정 위치에 위치된 빈 카세트(9)내에 이들을 배치한다. 처리된 웨이퍼(13)를 가진 카세트(9)는 예를 들면 삽입부(5)와 같은 방식으로 가스록을 가진 별도의 배출부를 포함할 수 있는 배출구(6)를 경유하여 공급부(2)로부터 제거될 수 있다.
각 처리 챔버(10, 11, 12)는 도면의 상부에 위치한 리액터(상세히 도시되지 않음)를 포함한다. 처리 챔버는 반도체 웨이퍼를 포함하는 보트를 턴테이블(33)로부터, 상세히 도시하지 않은 오븐과 같은 리액터에 전달하는 리프팅 장치(17)위에 정렬된다.
이는 로봇(8)과 맞대어 보트가 놓인 위치로부터 시작해서 이 보트가 유닛(2)의 관련된 카세트(9)로부터 또는 연속하는 처리 챔버들중의 하나로부터 도달하는 웨이퍼가 채워지는 것을 의미한다. 문제의 보트가 채워진후에, 테이블(33)은 한 위치에서 회전되고, 문제의 보트는 리프팅 수단(17)과 결합하여 오븐내에 위치되는 것이 가능하다. 오븐내에서 처리된 후에, 문제의 보트는 테이블(33)상의 후면에 위치되고 내부에 위치된 반도체 웨이퍼를 냉각시키기 위해 다른 위치로 전달된다. 동시에, 반도체 웨이퍼의 연속적인 보트는 리액터로 옮겨진다. 연속적인 회전후에, 적어도 부분적으로 냉각된 반도체 웨이퍼를 포함하는 보트는 로봇(8)앞에 위치되어서 유닛(2)내의 카세트에 또는 연속하는 처리 챔버의 보트에 내려질 수 있다.
본 발명은 이러한 종류의 장치를 사용하여 처리을 시간을 최적화하는 것, 즉, 사용가능한 전체 판 영역상에서 대량의 웨이퍼에 동일한 처리를 할 수 있도록 하는 것을 목표로 한다. 또한, 본 발명은 동일한 분배 시스템, 즉, 로봇을 포함한 구조와, 동일한 삽입 시스템을 사용할 수 있다는 점에서 이점이 있다. 본 발명의 제안은 도 2에 도시되어 있다. 이 도면에서, 본 발명에 따른 장치는 전체가 21 로 표시되어 있으며 삽입구와 배출구는 25로 표시되어 있다. 웨이퍼(13)를 포함하는 카세트(9)는 장치로 삽입되고 무균실의 격벽은 23으로 표시된다. 도 1에 따른 테이블(4)과 비교되는 테이블은 24로 표시되며, 이 테이블을 경유하여 카세트는 도 1에서처럼 로봇(8)이 있는 맞은편의 가스록 시스템(18)에 위치된다. 도 2에서는, 2개의 처리부(29, 30) 만이 도시되고, 처리부(29)는 개략적으로만 도시된다. 예를 들면, 도 1에 도시된 바와 같이, 더이상의 처리부는 존재하는 않는 것을 이해할 수 있을 것이다. 이 형태를 적용함으로써, 상당히 다수의 처리부를 사용하는 것이 가능하다.
처리 챔버(30)의 디자인이 이제 도 3을 참조로 설명된다. 이 도면으로부터 종래 기술과 대조적으로 2 개의 리액터(31, 32)가 제공되는 것을 알 수 있다. 또한, 개략적으로만 도시된 2개의 리프팅 장치(34, 35)가 제공된다. 이 챔버에는 종래의 기술에서처럼 보트를 수납하기 위해 3개의 위치만을 갖는 공통 턴테이블이 공급된다.
보트(A, B, C)내에 웨이퍼를 수납하는 방법은 도 4a 내지 도 4c 에 도시되어 있으며, 테이블(33)의 회전 방향은 화살표로 표시되어 있다.
냉각 시간이 처리시에 비교적 중요한 역할을 한다면, 보트를 수납하기 위한 4개의 위치를 가진 턴테이블을 제공할 수도 있다. 이 경우에, 도 4a 내지 도 4c 에서와 같은 일련의 처리 동작은 수정될 것이다.
관련 기술 분야의 당업자라면 다양한 리액터를 동작시키기 위한 많은 변형, 특히 보트내에 정렬된 반도체 웨이퍼의 공급 및 제거를 위한 많은 변형이 가능한 것을 이해할 수 있을 것이다.
오븐(31, 32)내에서 동일한 처리 동작을 수행하는 것이 바람직하지만, 이 오븐들에서 다른 동작을 수행하는 것도 충분히 고려할 만하다.
오븐(32)과 같은 리액터와 관련 리프팅 장치(35)를 단순히 부가함으로써 장치의 영역을 실제로 확장시키지 않으며, 또한 비용을 증가시키는 기술적으로 복잡한 측정을 요하지 않고, 처리 챔버의 용량을 크게 증가시키는 것이 가능하다.
도 5 및 도 6은 2개의 리액터(31, 32)가 제공되는, 본 발명에 따른 장치의 변형을 도식적으로 나타낸다. 종래에 도시된 설계와는 대조적으로, 턴테이블(33)은 4개의 위치를 가지며, 화살표는 다양한 보트의 이동이 어떻게 실행되는지를 도식적으로 나타낸다. 상기 설명은 도 4a 내지 도 4c 를 참조로 한다.
상기 설명된 본 발명은 언급된 변형으로 제한되는 것은 아니며, 관련 기술 분야의 당업자라면 첨부된 청구항의 범위내에서 용이하게 실행할 수 있는 설계를 포함하는 것으로 이해되어야 한다.

Claims (7)

  1. 유지부 또는 다른 처리 챔버로부터 처리 챔버로 웨이퍼를 전달하거나 또는 그 역으로 웨이퍼를 전달하는 분배 시스템(18)을 가지며, 상기 유지부 또는 다른 처리 챔버 및 상기 중앙 분배 시스템에 대하여 상기 처리 챔버를 완전히 밀폐시키는 것이 가능한 처리 챔버(24, 29, 30)와, 리액터(31)와, 상기 처리 챔버(30)내에 정렬되어 상기 반도체 웨이퍼를 상기 리액터로 전달하기 위한 공급 및 제거 수단(33, 34)을 구비한 반도체 웨이퍼(13)를 처리하는 장치(21)에 있어서,
    상기 처리 챔버(30)내에 정렬된 제2 리액터를 포함하고, 상기 제2 리액터에는 상기 반도체 웨이퍼를 전달하는 제2 공급 및 제거 수단(35)이 설치되고, 상기 제1 및 제2 제거 수단은 상기 반도체 웨이퍼의 공급 및 제거를 위해 평행하게 설치된 것을 특징으로 하는 반도체 웨이퍼 처리용 장치.
  2. 제1항에 있어서, 상기 리액터는 동일한 처리를 수행하도록 설계된 것인 반도체 웨이퍼 처리용 장치.
  3. 제1항 또는 제2항에 있어서, 상기 리액터는 오븐을 포함하는 것인 반도체 웨이퍼 처리용 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 및 제2 공급 및 제거 수단은 턴테이블(33)을 포함하고, 상기 턴테이블은 한편으로 상기 중앙 분배 시스템(18)으로부터 카세트내의 반도체 웨이퍼를 수납하여 그 수납된 상기 반도체 웨이퍼를 상기 중앙 분배 시스템(18)으로 전달하고, 다른 한편으로 (보트내의) 웨이퍼를 전달하며 각각의 리액터의 삽입 수단(34, 35)으로부터 상기 웨이퍼를 수납하는 것인 반도체 웨이퍼 처리용 장치.
  5. 제4항에 있어서, 상기 턴테이블은 그위에 반도체 웨이퍼 및/또는 카세트를 수납하기 위한 3개의 위치를 갖는 것인 반도체 웨이퍼 처리용 장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 에어록 시스템에 의해 연결될 수 있는 적어도 2개의 처리 챔버(29, 30)를 포함하는 것인 반도체 웨이퍼 처리용 장치.
  7. 제6항에 있어서, 각 처리 챔버는 적어도 2개의 리액터를 포함하는 것인 반도체 웨이퍼 처리용 장치.
KR10-1999-7006750A 1997-01-27 1998-01-27 반도체 웨이퍼 처리용 장치 KR100411968B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
NL1005102 1997-01-27
NL1005102A NL1005102C2 (nl) 1997-01-27 1997-01-27 Inrichting voor het behandelen van halfgeleiderschijven.
PCT/NL1998/000055 WO1998036444A1 (en) 1997-01-27 1998-01-27 Device for processing semiconductor wafers

Publications (2)

Publication Number Publication Date
KR20000070506A true KR20000070506A (ko) 2000-11-25
KR100411968B1 KR100411968B1 (ko) 2003-12-24

Family

ID=19764285

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7006750A KR100411968B1 (ko) 1997-01-27 1998-01-27 반도체 웨이퍼 처리용 장치

Country Status (9)

Country Link
US (1) US6607602B1 (ko)
EP (1) EP0960434B1 (ko)
JP (1) JP3403207B2 (ko)
KR (1) KR100411968B1 (ko)
AU (1) AU5682898A (ko)
DE (1) DE69804944T2 (ko)
NL (1) NL1005102C2 (ko)
TW (1) TW386242B (ko)
WO (1) WO1998036444A1 (ko)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
NL1013989C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van een wafer.
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP5034138B2 (ja) * 2001-01-25 2012-09-26 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US6860710B1 (en) * 2002-08-30 2005-03-01 Novellus Systems, Inc. Lifting mechanism for integrated circuit fabrication systems
JP2004103990A (ja) * 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
DE202006007937U1 (de) * 2006-05-18 2007-09-20 Strämke, Siegfried, Dr.-Ing. Plasmabehandlungsanlage
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
JP6195773B2 (ja) * 2013-10-11 2017-09-13 Dowaサーモテック株式会社 浸炭焼入れ設備
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system

Also Published As

Publication number Publication date
JP2000511710A (ja) 2000-09-05
DE69804944T2 (de) 2002-11-07
EP0960434A1 (en) 1999-12-01
KR100411968B1 (ko) 2003-12-24
US6607602B1 (en) 2003-08-19
JP3403207B2 (ja) 2003-05-06
DE69804944D1 (de) 2002-05-23
EP0960434B1 (en) 2002-04-17
WO1998036444A1 (en) 1998-08-20
NL1005102C2 (nl) 1998-07-29
AU5682898A (en) 1998-09-08
TW386242B (en) 2000-04-01

Similar Documents

Publication Publication Date Title
KR20000070506A (ko) 반도체 웨이퍼 처리용 장치
US5464313A (en) Heat treating apparatus
KR100278154B1 (ko) 마이크로회로 웨이퍼들을 처리하기 위한 장치
EP2009671B1 (en) Substrate treating apparatus
US6176667B1 (en) Multideck wafer processing system
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
US6588121B2 (en) Vacuum processing apparatus
KR100761187B1 (ko) 기판 처리 장치, 처리 챔버 및 코팅된 기판 제조 방법
KR0133676B1 (ko) 웨이퍼 이동 교체 장치 및 방법
EP1177571B1 (en) Method for transferring wafers and ring
KR940017985A (ko) 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
KR100818044B1 (ko) 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
EP1385195A3 (en) Substrate treating system
JP5247094B2 (ja) 基板処理システム
KR100262710B1 (ko) 습식 처리 장치를 최소화할 수 있는 반도체 장치 제조 라인
JPH0547896A (ja) ウエハカセツトストツカ
JPH01117022A (ja) 半導体ウェーハの縦型熱処理装置
KR20050057012A (ko) 프로세싱 툴에 기판을 공급하는 방법 및 장치
US20100011785A1 (en) Tube diffuser for load lock chamber
KR100934769B1 (ko) 기판 이송 시스템
JPH0711466Y2 (ja) プラズマ処理装置
JP2827730B2 (ja) 縦型減圧cvd装置
KR20050066819A (ko) 확산 설비용 웨이퍼 이송장치 및 이송 방법
KR20010029282A (ko) 반도체소자 제조를 위한 급속 열처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121123

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20141124

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee