TW202340501A - 用於腔室中的製品 - Google Patents

用於腔室中的製品 Download PDF

Info

Publication number
TW202340501A
TW202340501A TW112122370A TW112122370A TW202340501A TW 202340501 A TW202340501 A TW 202340501A TW 112122370 A TW112122370 A TW 112122370A TW 112122370 A TW112122370 A TW 112122370A TW 202340501 A TW202340501 A TW 202340501A
Authority
TW
Taiwan
Prior art keywords
layer
oxide
mol
rare earth
layers
Prior art date
Application number
TW112122370A
Other languages
English (en)
Inventor
鄔笑煒
大衛 芬威克
語南 孫
國棟 詹
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202340501A publication Critical patent/TW202340501A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Medical Preparation Storing Or Oral Administration Devices (AREA)

Abstract

本文描述了使用原子層沉積(ALD)製程將抗電漿塗層沉積到腔室部件的表面上的製品、系統和方法。抗電漿塗層具有應力消除層和包含Y 2O 3-ZrO 2固溶體的層,並且均勻地覆蓋特徵,如縱橫比為約3:1至約300:1的彼等特徵。

Description

用於腔室中的製品
本公開的實施例涉及製品、經塗覆的腔室部件以及用多層抗電漿塗層塗覆腔室部件的方法。電漿陶瓷塗層具有非晶應力消除層和含有一種或多種稀土金屬(如含釔氧化物)的氧化物層。使用原子層沉積形成塗層的每一層。
各種製造製程使半導體處理腔室部件暴露於高溫、高能電漿、腐蝕性氣體的混合物、高應力,以及上述各者的組合。該等極端條件可能侵蝕和/或腐蝕腔室部件,從而增加腔室部件對缺陷的敏感性。希望減少該等缺陷並改善在此種極端環境下部件的耐侵蝕性和/或耐腐蝕性。
通常通過諸如熱噴塗、濺射、離子輔助沉積(IAD)、電漿噴塗或蒸發技術之各種方法將保護塗層沉積在腔室部件上。該等技術不能將塗層沉積到腔室部件的某些縱橫比為約10:1至約300:1的特徵(例如,凹坑、噴頭孔等)中。不能塗覆此類特徵可能導致品質差的薄膜,或者腔室部件的一部分完全未被塗覆。
本文所述的一些實施例涵蓋一種具有縱橫比為約3:1至約300:1的部分的製品。所述製品包括在所述製品的所述部分的表面上的抗電漿塗層。抗電漿塗層包含厚度為約10nm至約1.5μm的非晶應力消除層和厚度為約10nm至約1.5μm的含稀土金屬的氧化物層,其中所述含稀土金屬的氧化物層覆蓋所述非晶應力消除層。抗電漿塗層均勻地覆蓋所述部分,在高達300℃的溫度下抗開裂和分層,並且無孔隙。
在一些實施例中,一種方法包括使用原子層沉積(ALD)製程將抗電漿塗層沉積到腔室部件的表面上。ALD製程包括使用ALD在表面上沉積非晶應力消除層至約10nm到約1.5μm的厚度,以及使用ALD在應力消除層上沉積含稀土金屬的氧化物層至約10nm到約1.5μm的厚度。抗電漿塗層均勻地覆蓋腔室部件的表面,在高達350℃的溫度下抗開裂和分層,並且無孔隙。在一些實施例中,沉積含稀土金屬的氧化物包括共沉積含釔氧化物和附加金屬氧化物以形成單相含釔氧化物層。共沉積可以通過將含釔氧化物的第一前驅物和附加金屬氧化物的第二前驅物的混合物共注入包含腔室部件的沉積腔室中以使第一前驅物和第二前驅物吸附到非晶應力消除層的表面上以形成第一半反應。隨後,可以將含氧反應物注入沉積室中以形成第二半反應。
在一些實施例中,一種方法包括使用原子層沉積(ALD)製程將抗電漿塗層沉積到腔室部件的表面上。ALD製程包括使用多個週期的ALD製程在表面上沉積非晶應力消除層至約10nm到約1.5μm的厚度。ALD製程進一步包括隨後沉積含稀土金屬的氧化物和第二氧化物的交替層堆疊至約10nm到約1.5μm的厚度。含稀土金屬氧化物的層中的各層通過執行約1-30個週期的ALD製程而形成並具有約1-100埃的厚度。第二氧化物的層中的各層通過執行1-2個週期的ALD製程而形成並且具有約0.5-4埃的厚度。第二氧化物的層防止含稀土金屬的氧化物的層中的結晶形成。
在此描述的實施例涵蓋製品、經塗覆的腔室部件和其中將具有應力消除層和含稀土金屬的氧化物層(如含釔氧化物層)的抗電漿塗層沉積在部件的表面上的方法。如本文所用,術語抗電漿是指對電漿以及化學作用和自由基具有抗性。表面可以是鋁(例如Al 6061、Al 6063)或陶瓷材料。沉積製程是原子層沉積(ALD)製程,該ALD製程可以包括共沉積用於含稀土金屬的氧化物層的前驅物。抗電漿塗層可以由雙層堆疊構成。雙層堆疊可以包含氧化鋁(Al 2O 3)(諸如非晶Al 2O 3)應力消除層,和含釔氧化物層。本文中的實施例以含釔氧化物層作為示例進行描述。應當理解,頂層可以包含任何稀土金屬氧化物,或稀土金屬氧化物的單相或多相混合物(即,具有或不具有釔)。
多層抗電漿塗層中各層的厚度可以從約10nm至約1.5μm。在實施例中,應力消除層(例如,非晶Al 2O 3)的厚度可以為約1.0μm,並且含稀土金屬的氧化物層的厚度可以為約50nm。含稀土金屬的氧化物層的厚度與應力消除層的厚度的比率可以是200:1至1:200。厚度比率可以根據具體的腔室應用來選擇。塗層可以被退火以在兩個層之間形成包含互擴散的固態相的一個或多於一個的中間層。抗電漿塗層可以塗覆或覆蓋製品中縱橫比為約10:1至約300:1的特徵的表面。抗電漿塗層還可以以基本均勻的厚度共形地覆蓋此類特徵。在一個實施例中,抗電漿塗層具有對下方表面的共形覆蓋,該下方表面塗覆有(包括經塗覆的表面特徵)具有小於約+/-20%的厚度變化、+/-10%的厚度變化、+/-5%的厚度變化或者更小的厚度變化的均勻厚度。
本文描述的實施例使腔室部件和其他製品的高縱橫比特徵能夠有效地塗覆有在其上方具有應力消除層(例如,非晶Al 2O 3)和含稀土金屬的氧化物層(如含釔氧化物層(例如,利用另一種稀土金屬氧化物以單相沉積的Y 2O 3))的抗電漿塗層。抗電漿塗層在高縱橫比特徵內是共形的並且可以用基本上均勻的塗層(例如,具有約+/-5%或更小的厚度變化)覆蓋所述特徵。抗電漿塗層還是非常緻密的,其孔隙率為約0%(例如,在實施例中,抗電漿塗層可以是無孔隙的)。具有應力消除層和含稀土金屬的氧化物層的抗電漿塗層可以抵抗來自電漿蝕刻化學品(如CCl 4/CHF 3電漿蝕刻化學品、HCl 3Si蝕刻化學品和NF 3蝕刻化學品)的腐蝕和侵蝕。此外,本文所述的具有應力消除層和含稀土金屬的氧化物層的抗等離子塗層可以在高達約350℃的溫度下抗開裂和分層。例如,具有本文所述的抗電漿塗層的腔室部件可用於包括加熱至約200℃之溫度的製程。腔室部件可以在室溫與約200℃的溫度之間進行熱循環,而不會在抗電漿塗層中引入任何裂紋或分層。
ALD允許通過與製品的表面進行化學反應來控制材料的自限性沉積。除了是一個共形的製程外,ALD亦是均勻的製程。製品的所有暴露面,包括高縱橫比的特徵(例如,約10:1至約300:1)將沉積有相同或大致相同量的材料。ALD製程的典型反應週期開始於將前驅物(即,單一化學品A)湧入ALD腔室並吸附到製品的表面上。隨後在將反應物(即,單一化學品R)引入ALD腔室並隨後排出之前,先將過量的前驅物從ALD室中排出。然而,陶瓷塗層中的含釔氧化物層(或其他稀土金屬氧化物層)可以通過共沉積材料來形成。為此,將兩個前驅物(如含釔氧化物前驅物(A)(例如,Y 2O 3)和另一稀土金屬氧化物(B)前驅物)的混合物以任意比率數(例如,A90+B10、A70+B30、A50+B50、A30+B70、A10+A90等)共注入(A xB y)腔室並吸附在製品的表面上。在該等實例中,對於Ax+By,x和y以莫耳比率(mol%)表示。例如,A90+B10是90mol%的A和10mol%的B。過量前驅物被排出。將反應物引入到ALD腔室中,並在過量的化學品被排出之前與被吸附的前驅物反應以形成固體層。對於ALD,材料的最終厚度取決於所運行的反應週期次數,因為每個反應週期將生長一定厚度的層,所述層可以是一個原子層或原子層的一部分。
與通常用於在具有高縱橫比特徵的部件上沉積塗層的其他技術(如電漿噴塗和離子輔助沉積)不同,ALD技術可以在該等特徵內(即,在所述特徵的表面上)沉積材料層。此外,ALD技術產生無孔隙(即,無針孔)的相對較薄(即,1μm或更薄)的塗層,該等塗層可以消除沉積期間的裂紋形成。如本文所用的術語「無孔隙」意指沿著藉由透射電子顯微鏡(TEM)所量測的塗層的整個深度沒有任何孔隙、針孔、孔隙/孔洞或裂紋。TEM可以使用通過聚焦離子束銑削製備的100nm厚的TEM片執行,其中TEM在200kV以明場、暗場或高解析度模式操作。相比之下,使用習用的電子束IAD或電漿噴塗技術,即使厚度為5或10μm亦會在沉積時形成裂紋,並且孔隙率可能為1-3%。
處理腔室部件,如腔室壁、噴頭、噴嘴,電漿生成單元(例如,具有殼體的射頻電極)、擴散器和氣體管線,將受益於具有該等抗電漿塗層以在惡劣的蝕刻環境中保護部件。該等腔室部件中的許多部件的縱橫比在約10:1至約300:1的範圍內,此使得使用習用沉積方法很難完善地進行塗覆。在此描述的實施例使高縱橫比製品(如上述處理腔室部件)能夠塗覆有保護製品的抗電漿塗層。例如,實施例使氣體管線的內部、噴嘴的內部、噴頭中的孔的內部等能夠塗覆有含稀土金屬的氧化物陶瓷塗層。
圖1是根據實施例的具有一或更多個腔室部件的半導體處理腔室100的剖視圖,所述腔室部件塗覆有具有應力消除層和含稀土金屬的氧化物層的抗電漿塗層。處理腔室100可以用於其中提供具有電漿處理條件的腐蝕性電漿環境的製程。例如,處理腔室100可以是用於電漿蝕刻器或電漿蝕刻反應器、電漿清潔器、電漿增強CVD或ALD反應器等等的腔室。可包含抗電漿塗層的腔室部件的實例包括具有複雜形狀和高縱橫比孔的腔室部件。一些示例性腔室部件包括基板支撐組件148、靜電卡盤(ESC)150、環(例如,製程套件環或單個環)、腔室壁、底座、氣體分配板、處理腔室的噴頭、氣體管線、噴嘴、蓋、襯墊、襯墊套件、遮罩、電漿螢幕、流量等化器、冷卻底座、腔室觀察口、腔室蓋等等。下文將更詳細描述的抗電漿塗層通過ALD施塗。ALD允許在所有類型的部件(包括具有複雜形狀和高縱橫比特徵的部件)上施塗無孔隙的厚度基本上均勻的共形塗層。
可以使用ALD來生長或沉積抗電漿塗層,ALD利用用於應力消除層的前驅物,和用於沉積含稀土金屬的氧化物或結合一或更多個附加氧化物共沉積含稀土金屬的氧化物以形成含稀土金屬的氧化物層的一或更多個前驅物。在一個實施例中,含稀土金屬的氧化物層具有多晶結構。含稀土金屬的氧化物可以包含釔、鉭、鋯和/或鉺。例如,含稀土金屬的氧化物可以是氧化釔(Y 2O 3)、氧化鉺(Er 2O 3)、氧化鋯(ZrO 2)、氧化鉭(Ta 2O 5)等。在實施例中,含稀土金屬的氧化物是多晶氧化釔。在其他實施例中,含稀土金屬的氧化物是非晶氧化釔。含稀土金屬的氧化物還可以包含與一或更多個稀土元素(如釔、鋯和/或鉺)混合的鋁。可以與含稀土金屬的氧化物共沉積以形成含稀土金屬的氧化物層的一或更多個附加氧化物可以包括氧化鋯(ZrO 2)、氧化鋁(Al 2O 3)、氧化鉺(Er 2O 3),或上述各者的組合。用於多層抗電漿塗層的含釔氧化物層可以是例如Y xZr yO z、Y aZr xAl yO z、Y xAl yO z或Y xEr yO z。含釔氧化物可以是其中釔具有空間群為Ia-3(206)的立方結構的氧化釔(Y 2O 3)。
在一個實施例中,含稀土金屬的氧化物層是Y 2O 3、Er 2O 3、Y 3Al 5O 12(YAG)、Er 3Al 5O 12(EAG)或Y 4Al 2O 9(YAM)中的一者。含稀土金屬的氧化物層亦可以是YAlO 3(YAP)、Er 4Al 2O 9(EAM)、ErAlO 3(EAP)、Y 2O 3-ZrO 2的固溶體和/或包含Y 4Al 2O 9與Y 2O 3-ZrO 2的固溶體的陶瓷化合物。
關於Y 2O 3-ZrO 2的固溶體,含稀土金屬的氧化物層可以包含濃度為10-90莫耳比率(mol%)的Y 2O 3和濃度為10-90mol%的ZrO 2。在一些實例中,Y 2O 3-ZrO 2的固溶體可以包含10-20mol%的Y 2O 3和80-90mol%的ZrO 2,可以包含20-30mol%的Y 2O 3和70-80mol%的ZrO 2,可以包含30-40mol%的Y 2O 3和60-70mol%的ZrO 2,可以包含40-50mol%的Y 2O 3和50-60mol%的ZrO 2,可以包含60-70mol%的Y 2O 3和30-40mol%的ZrO 2,可以包含70-80mol%的Y 2O 3和20-30mol%的ZrO 2,可以包含80-90mol%的Y 2O 3和10-20mol%的ZrO 2,等等。
關於包含Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物,在一個實施例中,所述陶瓷化合物包含62.93莫耳比率(mol%)的Y 2O 3、23.23mol%的ZrO 2和13.94mol%的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在50-75mol%範圍內的Y 2O 3、在10-30mol%範圍內的ZrO 2和在10-30mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在40-100mol%範圍內的Y 2O 3、在0.1-60mol%範圍內的ZrO 2和在0.1-10mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在40-60 mol%範圍內的Y 2O 3、在30-50 mol%範圍內的ZrO 2和在10-20 mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在40-50 mol%範圍內的Y 2O 3、在20-40 mol%範圍內的ZrO 2和在20-40 mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在70-90mol%範圍內的Y 2O 3、在0.1-20mol%範圍內的ZrO 2和在10-20mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在60-80 mol%範圍內的Y 2O 3、在0.1-10mol%範圍內的ZrO 2和在20-40mol%範圍內的Al 2O 3。在另一個實施例中,所述陶瓷化合物可以包含在40-60mol%範圍內的Y 2O 3、在0.1-20mol%範圍內的ZrO 2和在30-40mol%範圍內的Al 2O 3。在其他實施例中,其他分佈亦可以用於所述陶瓷化合物。
在一個實施例中,將包含Y 2O 3、ZrO 2、Er 2O 3、Gd 2O 3和SiO 2的組合的替代陶瓷化合物用於含稀土金屬的氧化物層。在一個實施例中,所述替代陶瓷化合物可以包含在40-45mol%範圍內的Y 2O 3、在0-10mol%範圍內的ZrO 2、在35-40mol%範圍內的Er 2O 3、在5-10mol%範圍內的Gd 2O 3和在5-15mol%範圍內的SiO 2。在第一實例中,替代陶瓷化合物包含40mol%的Y 2O 3、5mol%的ZrO 2、35mol%的Er 2O 3、5mol%的Gd 2O 3和15mol%的SiO 2。在第二實例中,替代陶瓷化合物包含45mol%的Y 2O 3、5mol%的ZrO 2、35mol%的Er 2O 3、10mol%的Gd 2O 3和5mol%的SiO 2。在第三實例中,替代陶瓷化合物包含40mol%的Y 2O 3、5mol%的ZrO 2、40mol%的Er 2O 3、7mol%的Gd 2O 3和8mol%的SiO 2
上述含稀土金屬的氧化物層中的任一層可以包含微量的其他材料,如ZrO 2、Al 2O 3、SiO 2、B 2O 3、Er 2O 3、Nd 2O 3、Nb 2O 5、CeO 2、Sm 2O 3、Yb 2O 3或其他氧化物。
應力消除層可以包含非晶氧化鋁或類似材料,並且改善抗電漿塗層對腔室部件的附著以及抗電漿塗層在各個實施例中在高達約350℃、或200℃或約200℃至約350℃的溫度下的抗開裂和分層的耐熱性。
如圖所示,根據一個實施例,基板支撐組件148具有抗電漿塗層136。然而,應當理解的是,任何其他腔室部件,如腔室壁、噴頭、氣體管線、靜電卡盤、噴嘴等,亦可以塗覆有陶瓷塗層。
在一個實施例中,處理腔室100包括腔室主體102和包圍內部容積106的噴頭130。噴頭130可以包括噴頭底座和噴頭氣體分配板。或者,在一些實施例中噴頭130可以由蓋和噴嘴代替,或者在其他實施例中由多個餅形噴頭隔室和電漿生成單元來代替。腔室主體102可以由鋁、不銹鋼或其他合適的材料製成。腔室主體102通常包括側壁108和底部110。噴頭130(或蓋和/或噴嘴)、側壁108和/或底部110中的任何一個可以包含抗電漿塗層。
外襯墊116可以設置在側壁108附近以保護腔室主體102。外襯墊116可以用雙層塗層製造和/或塗覆。在一個實施例中,外襯墊116由氧化鋁製成。
排氣口126可以被限定在腔室主體102中,並且可以將內部容積106耦接至幫浦系統128。幫浦系統128可以包括用於抽空和調節處理腔室100的內部容積106的壓力的一或更多個幫浦和節流閥。
噴頭130可以被支撐在腔室主體102的側壁108上。噴頭130(或蓋)可被打開以允許進入處理腔室100的內部容積106,並且可在關閉時為處理腔室100提供密封。氣體面板158可耦接至處理腔室100以通過噴頭130或蓋和噴嘴向內部容積106提供處理氣體和/或清潔氣體。噴頭130可以用於進行介電蝕刻(介電材料的蝕刻)的處理腔室。噴頭130包括氣體分配板(GDP)133,所述GDP 133具有多個貫穿GDP 133之氣體遞送孔132。噴頭130可以包括結合到鋁底座或陽極化鋁底座上的GDP 133。GDP 133可以由Si或SiC製成,或者可以是陶瓷,如Y 2O 3、Al 2O 3、Y 3Al 5O 12(YAG)等。噴頭130和遞送孔132可以塗覆有抗電漿塗層,如下面關於圖4A和圖4B的更詳細描述。
對於用於導體蝕刻(導電材料的蝕刻)的處理腔室,可以使用蓋而不是噴頭。蓋可以包括安裝到蓋的中心孔中的中心噴嘴。蓋可以是陶瓷,如Al 2O 3、Y 2O 3、YAG,或者包含Y 4Al 2O 9和Y 2O 3-ZrO 2固溶體的陶瓷化合物。噴嘴亦可以是陶瓷,如Y 2O 3、YAG,或者包含Y 4Al 2O 9和Y 2O 3-ZrO 2固溶體的陶瓷化合物。根據一個實施例,蓋、噴頭底座104、GDP 133和/或噴嘴可全部塗覆有抗電漿塗層。
可用於在處理腔室100中處理基板的處理氣體的實例包括含鹵素的氣體,如C 2F 6、SF 6、SiCl 4、HBr、NF 3、CF 4、CHF 3、CH 2F 3、F、NF 3、Cl 2、CCl 4、BCl 3和SiF 4等,以及其他氣體,如O 2或N 2O。載氣的實例包括N 2、He、Ar,以及對處理氣體為惰性的其他氣體(例如,非反應性氣體)。基板支撐組件148設置在處理腔室100的內部容積106內,位於噴頭130或蓋下方。基板支撐組件148在處理期間保持基板144。環146(例如,單個環)可以覆蓋靜電卡盤150的一部分,並且可以在處理期間保護被覆蓋部分免於暴露於電漿。在一個實施例中,環146可以是矽或石英。
內襯墊118可以被塗覆在基板支撐組件148的周邊上。內襯墊118可以是抗含鹵素氣體的材料,如參考外襯墊116論述的彼等抗含鹵素氣體的材料。在一個實施例中,內襯墊118可以由與外襯墊116相同的材料製成。另外,內襯墊118還可以塗覆有如本文所述的抗電漿塗層。
在一個實施例中,基板支撐組件148包括支撐基座152的安裝板162,以及靜電卡盤150。靜電卡盤150還包括導熱底座164和通過結合部138結合到導熱底座的靜電盤166,所述結合部在一個實施例中可以是矽樹脂結合部。在所示的實施例中,靜電盤166的上表面可以被釔基氧化物抗電漿塗層136覆蓋。抗電漿塗層136可以設置在靜電卡盤150的整個暴露表面上,包括導熱底座164和靜電盤166的外和側周邊以及在靜電卡盤中的具有大縱橫比的任何其他幾何形狀複雜的部件或孔。安裝板162耦接至腔室主體102的底部110,並且包括用於將設施(例如,流體、電線、感測器導線等)路由佈置到導熱底座164和靜電盤166的通道。
導熱底座164和/或靜電盤166可以包括一或更多個任選的嵌入式加熱元件176、嵌入式隔熱器174和/或導管168、170,以控制基板支撐組件148的側向溫度分佈。導管168、170可流體耦接至流體源172,所述流體源使溫度調節流體循環通過導管168、170。在一個實施例中,嵌入式隔離器174可以設置在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170和加熱器176可以用於控制導熱底座164的溫度。導管和加熱器加熱和/或冷卻靜電盤166和正在被處理的基板(例如晶圓)144。靜電盤166和導熱底座164的溫度可以使用複數個溫度感測器190、192進行監測,該等溫度感測器可以使用控制器195進行監測。
靜電盤166還可以包括多個氣體通道,如凹槽、檯面以及可以形成在盤166的上表面中的其他表面特徵。根據一個實施例,該等表面特徵可全部塗覆有釔基氧化物抗電漿的塗層。氣體通道可通過在靜電盤166中鑽出的孔流體耦接至熱傳遞(或背側)氣體(如He)的來源。在操作中,背側氣體可以在受控壓力下提供到氣體通道中,以增強靜電盤166與基板144之間的熱傳遞。
靜電盤166包括由卡緊電源182控制的至少一個夾持電極180。夾持電極180(或設置在靜電盤166或底座164中的其他電極)還可以通過匹配電路188耦接至一或更多個RF電源184、186,以用於在處理腔室100內維持由製程氣體和/或其他氣體形成的電漿。RF電源184、186通常能夠產生具有從約50kHz到約3GHz的頻率和高達約10,000瓦特的功率的RF信號。
圖2A示出了根據ALD技術以在製品上生長或沉積抗電漿塗層的沉積製程的一個實施例。圖2B示出了根據如本文所述的原子層沉積技術的沉積製程的另一個實施例。圖2C示出了根據如本文所述的原子層沉積技術的沉積製程的另一個實施例。
存在各種類型的ALD製程,並且具體類型可以基於數個因素來選擇,如待塗覆的表面、塗層材料、表面與塗層材料之間的化學相互作用等。各種ALD製程的一般原理包括通過將待塗覆的表面反覆暴露於以自限性方式每次一個地與表面發生化學反應的氣態化學前驅物的脈衝來生長薄膜層。
圖2A至圖2C示出了具有表面的製品210。製品210可以代表各種處理腔室部件(例如,半導體處理腔室部件),包括但不限於基板支撐組件、靜電卡盤(ESC)、環(例如,製程套件環或單個環)、腔室壁、底座、氣體分配板、氣體管線、噴頭、電漿電極、電漿殼體、噴嘴、蓋、襯墊、襯墊套件、遮罩、電漿螢幕、流量等化器、冷卻底座、腔室觀察口、腔室蓋、擴散器等等。製品210可以由金屬(如鋁、不銹鋼)、陶瓷、金屬-陶瓷複合物、聚合物、聚合陶瓷複合物、聚酯薄膜、聚酯或其他合適的材料製成,並且還可以包含諸如AlN、Si、SiC、Al 2O 3、SiO 2等的材料。
對於ALD,將前驅物吸附到表面上或反應物與被吸附的前驅物的反應可以被稱為「半反應」。在第一半反應期間,將前驅物脈衝到製品210的表面上(或脈衝到形成在製品210上的層上)達足以允許前驅物完全吸附到表面上的時間段。吸附是自限性的,因為前驅物將吸附到表面上有限數量的可用位點上,從而在表面上形成均勻的連續吸附層。已經被前驅物吸附的任何位點將變得不能再吸附同一前驅物,除非和/或直到被吸附的位點經受處理,該處理將在均勻連續塗層上形成新的可用位點。示例性的處理可以是電漿處理,亦即通過將均勻的連續吸附層暴露於自由基或引入能夠與吸附到表面的最近的均勻連續層反應的不同前驅物執行的處理。
在一些實施方式中,兩種或更多種前驅物被一起注射並吸附到製品的表面上。泵送出過量的前驅物,直到含氧反應物被注入以與被吸附物反應形成固體單相或多相層(例如,YAG、Y 2O 3-ZrO 2相等)。此新鮮層準備好在下一個週期中吸附前驅物。
在圖2A中,可以將製品210引入到第一前驅物260達第一持續時間,直到製品210的表面吸附滿第一前驅物260以形成吸附層214。隨後,可以將製品210引入到第一反應物265中以與吸附層214反應以生長固體應力消除層216(例如,使得應力消除層216完全生長或沉積,其中術語生長和沉積在本文中可以可互換地使用)。例如,第一前驅物260可以是鋁或另一金屬的前驅物。如若應力消除層216是氧化物,則第一反應物265可以是氧氣、水蒸氣、臭氧、純氧、氧自由基或另一氧來源。因此,可以使用ALD來形成應力消除層216。
在應力消除層216是氧化鋁(Al 2O 3)應力消除層的實例中,可以將製品210(例如,Al6061基板)引入到第一前驅物260(例如,三甲基鋁(TMA))中達第一持續時間,直到表面上的所有反應位點被消耗。將剩餘的第一前驅物260沖走,隨後將為H 2O的第一反應物265注入到反應器中以開始第二半週期。在H 2O分子與由第一半反應產生的含Al吸附層反應後形成Al 2O 3應力消除層216。
應力消除層216可以是均勻的、連續的和共形的。在實施例中,應力消除層216可以是無孔隙的(例如,具有為0的孔隙率)或具有大約0的孔隙率(例如,孔隙率為0%至0.01%)。在一些實施例中,在單個ALD沉積週期之後,層216可以具有小於一個原子層到幾個原子的厚度。一些金屬有機前驅物分子很大。在與反應物265反應之後,大的有機配體可能消失,留下小得多的金屬原子。一個完整的ALD週期(例如,包括引入前驅物260,隨後引入反應物265)可能導致少於單個原子層。例如,通過TMA和H 2O生長的Al 2O 3單層通常具有約0.9-1.3A/週期的生長速率,而Al 2O 3晶格常數為a-4.7A和c=13A(對於三角結構而言)。
可以實施多個全ALD沉積週期來沉積較厚的應力消除層216,其中每個完整的週期(例如,包括引入前驅物260,沖洗,引入反應物265,以及再次沖洗)使厚度增加一個原子至幾個原子的額外部分。如圖所示,可執行多達n個完整週期來生長應力消除層216,其中n是大於1的整數值。在實施例中,應力消除層216的厚度可以為約10nm至約1.5μm。應力消除層216在實施例中可以具有約10nm至約15nm的厚度,或者在其他實施例中可以具有約0.8-1.2μm的厚度。
應力消除層216提供穩健的機械性能。應力消除層216可以增強介電強度,可以提供抗電漿塗層對部件(例如,由Al6061、Al6063或陶瓷形成的部件)的更佳附著,並且可以防止抗電漿塗層在高達約200℃、或高達約250℃、或約200℃至約250℃的溫度下開裂。在其他實施例中,應力消除層216可以防止抗電漿塗層在高達約350℃的溫度下開裂。此種金屬製品具有的熱膨脹係數可以顯著高於抗電漿塗層的含稀土金屬的氧化物層的熱膨脹係數。通過首先施塗應力消除層216,可以管理製品與含稀土金屬的氧化物層之間的熱膨脹係數失配的不利影響。由於ALD被用於沉積,因此可以塗覆高縱橫比特徵(如噴頭中的氣體遞送孔或氣體遞送管線)的內表面,並且因此可以保護整個部件免於暴露於腐蝕性環境。
在實施例中,層216可以是Al 2O 3,如非晶Al 2O 3。非晶Al 2O 3具有比例如含釔氧化物更高的承溫能力。因此,在含釔氧化物層或其他含稀土金屬的氧化物層下方加入非晶Al 2O 3層作為應力消除層可以通過消除在氧化釔/Al6061界面的某些區域處集中的升高應力而從整體上增加抗電漿塗層的耐熱性。此外,由於共有元素(即,鋁),Al 2O 3對基於鋁的部件具有良好的附著性。類似地,同樣由於共有元素(即,氧化物),Al 2O 3亦對含稀土金屬的氧化物具有良好的附著性。該等改進的界面減少了易於引發裂紋的界面缺陷。
另外,非晶Al 2O 3層可以用作防止金屬污染物(例如,Mg、Cu等微量金屬)從部件或製品遷移到含稀土金屬的氧化物層中的阻擋層。例如,進行其中在Al 2O 3應力消除層216上沉積銅源層的測試。二次離子質譜分析法(SIMS)深度分佈顯示,在300℃退火4小時後,沒有銅擴散到Al 2O 3應力消除層216中或穿過Al 2O 3應力消除層216。
隨後,可以將具有層216的製品210引入附加的一或更多個前驅物270達第二持續時間,直到應力消除層216的表面吸附滿所述一或更多個附加的前驅物270以形成吸附層218。隨後,可以將製品210引入到反應物275中以與吸附層218反應以生長固體的含稀土金屬的氧化物層220,為了簡單起見亦被稱為第二層220(例如,使得第二層220完全生長或沉積)。因此,使用ALD在應力消除層216上完全生長或沉積第二層220。在一個實例中,前驅物270可以是在第一半週期中使用的含釔前驅物,而反應物275可以是在第二半週期中使用的H 2O。
第二層220形成含釔氧化物層或其他含稀土金屬的氧化物層,該等層可以是均勻的、連續的和共形的。第二層220可以在實施例中具有小於1%的極低孔隙率,並且在其他實施例中具有小於0.1%的極低孔隙率,並且在實施例中具有為約0%的孔隙率,或者在其他實施例中無孔隙。在單個完整的ALD沉積週期之後,第二層220可具有小於一個原子到幾個原子(例如,2-3個原子)的厚度。可以實施多個ALD沉積階段以沉積更厚的第二層220,其中每個階段使厚度增加一個原子到幾個原子的額外部分。如所示出的,完整的沉積週期可以重複m次,以使得第二層220具有期望的厚度,其中m是大於1的整數值。在實施例中,第二層220的厚度可以為約10nm至約1.5μm。第二層220可以在實施例中具有約10nm至約20nm的厚度,或者在一些實施例中具有約50nm至約60nm的厚度。在其他實施例中,第二層220的厚度可以為約90nm至約110nm。
含稀土金屬的氧化物層的厚度與應力消除層的厚度的比率可以是200:1至1:200。含稀土金屬的氧化物層的厚度與應力消除層的厚度的較高比率(例如,200:1、100:1、50:1、20:1、10:1、5:1、2:1等)提供了更好的抗腐蝕性和抗侵蝕性,而含稀土金屬的氧化物層的厚度與應力消除層的厚度的較低比率(例如1:2、1:5、1:10、1:20、1:50、1:100、1:200)提供更好的耐熱性(例如,對由熱週期引起的開裂和/或分層的提高的抵抗力)。厚度比率可以根據具體的腔室應用來選擇。在一個實例中,對於具有高濺射速率的電容耦合電漿環境,可以在50nm的應力消除Al 2O 3層上沉積1μm的頂層。在沒有能量離子轟擊的高溫化學或自由基環境中,100nm的頂層以及500nm的底層可以是最佳的。厚底層還可以防止微量金屬從下面的基板或製品(抗電漿塗層在其上)擴散出來。
第二層220可以是前述含稀土金屬的氧化物層中的任一者。例如,第二層220可以是單獨的或與一或更多個其他稀土金屬氧化物組合的Y 2O 3。在一些實施例中,第二層220是由已經通過ALD共沉積的至少兩種含稀土金屬的氧化物前驅物的混合物(例如,Y 2O 3、Er 2O 3、Al 2O 3和ZrO 2中的一或更多個的組合)形成的單相材料。例如,第二層220可以是Y xZr yO z、Y xEr yO z、Y 3Al 5O 12(YAG)、Y 4Al 2O 9(YAM)、Y 2O 3穩定化的ZrO 2(YSZ),或包含Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物中的一者。在一個實施例中,應力消除層216是非晶Al 2O 3並且第二層220是單獨的或者與一或更多個其他含稀土金屬的氧化物材料在單相中的多晶或非晶含釔氧化物化合物(例如,Y 2O 3、Y xAl yO z、Y xZr yO z、Y xEr yO z)。因此,應力消除層216可以是在沉積含釔氧化物層之前沉積的應力消除層。
在一些實施例中,第二層220可以包含Er 2O 3、Y 2O 3、Al 2O 3或ZrO 2。在一些實施例中,第二層220是Er xAl yO z(例如,Er 3Al 5O 12)、Er xZr yO z、Er aZr xAl yO z、Y xEr yO z或Er aY xZr yO z中的至少一者的多組分材料(例如,Y 2O 3、ZrO 2和Er 2O 3的單相固溶體)。第二層220亦可以是Y 3Al 5O 12(YAG)、Y 4Al 2O 9(YAM)、Y 2O 3穩定化的ZrO 2(YSZ),或包含Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物中的一者。在一個實施例中,第二層220是含鉺化合物(例如,Er 2O 3、Er xAl yO z、Er xZr yO z、Er aZr xAl yO z、Y xEr yO z,或者Er aY xZr yO z)。
參考圖2B至圖2C,在一些實施例中,抗電漿塗層包含多於兩個的層。具體而言,抗電漿塗層可以包含應力消除層與含稀土金屬氧化物層的一系列交替層,或者可以包含應力消除層和一系列含稀土金屬的氧化物層的交替層。在一些實施例中,含稀土金屬的氧化物層是多個交替子層組成的層。例如,含稀土金屬的氧化物層可以是Y 2O 3和Al 2O 3的一系列交替子層,Y 2O 3和ZrO 2的一系列交替子層,Y 2O 3、Al 2O 3和ZrO 2的一系列交替子層,等等。
參考圖2B,可以將具有應力消除層216的製品210插入到沉積腔室中。應力消除層216可以已經如參考圖2A所述地形成。可以將具有應力消除層216的製品210引入到一或更多個前驅物280達一段持續時間,直到應力消除層216的表面吸附滿所述一或更多個附加的前驅物280以形成吸附層222。隨後,可以將製品210引入到反應物282中以與吸附層222反應以生長固體金屬氧化物層224。因此,使用ALD在應力消除層216上完全生長或沉積金屬氧化物層224。在一個實例中,前驅物280可以是在第一半週期中使用的含釔前驅物,而反應物282可以是在第二半週期中使用的H 2O。金屬氧化物層224可以是Y 2O 3、ZrO 2、Al 2O 3、Er 2O 3、Ta 2O 5中的第一者,或另一氧化物。
可以將具有應力消除層216和金屬氧化物層224的製品210引入一或更多個前驅物284達一段持續時間,直到金屬氧化物層224的表面吸滿所述一或更多個前驅物284以形成吸附層226。隨後,可將製品210引入反應物286以與吸附層226反應以生長附加的固體金屬氧化物層228。因此,使用ALD在金屬氧化物層224上完全生長或沉積附加的金屬氧化物層228。在一個實例中,前驅物284可以是在第一半週期中使用的含鋯前驅物,而反應物286可以是在第二半週期中使用的H 2O。金屬氧化物層224可以是Y 2O 3、ZrO 2、Al 2O 3、Er 2O 3、Ta 2O 5中的第二者,或另一氧化物。
如圖所示,金屬氧化物224和第二金屬氧化物228的沉積可以重複n次以形成交替層的堆疊237,其中n是大於2的整數值。N可以表示基於目標厚度和性質選擇的有限層數。交替層的堆疊237可以被認為是含有多個交替子層的含稀土金屬的氧化物層。因此,可以重複順序地引入前驅物280、反應物284、前驅物284和反應物286以生長或沉積附加的交替層230、232、234、236等。層224、224、230、232、234、236等中的每一個可以是厚度為小於單個原子層到幾個原子層的極薄層。例如,通過TMA和H 2O生長的Al 2O 3單層通常具有約0.9-1.3A/週期的生長速率,而Al 2O 3晶格常數為a-4.7A和c=13A(對於三角結構)。
上面描述的交替層224-236具有1:1的比率,其中每個第二金屬氧化物單層有一第一金屬氧化物單層。然而,在其他實施例中,不同類型的金屬氧化物層之間可以有其他比率,如2:1、3:1、4:1等等。例如,在一個實施例中,可以針對每個ZrO 2層沉積兩個Y 2O 3層。另外,交替層224-236的堆疊237已被描述為交替的一系列兩種類型的金屬氧化物層。然而,在其他實施例中,可以在交替堆疊237中沉積多於兩種類型的金屬氧化物層。例如,堆疊237可以包括三個不同的交替層(例如,第一層Y 2O 3、第一層Al 2O 3、第一層ZrO 2、第二層Y 2O 3、第二層Al 2O 3、第二層ZrO 2等等)。
在交替層的堆疊237已經形成之後,可以執行退火製程以使不同材料的交替層擴散到彼此中並形成具有單相或多相的複合氧化物。在退火製程之後,交替層237的堆疊可以因此變成單個含稀土金屬的氧化物層238。例如,如若堆疊中的各層是Y 2O 3、Al 2O 3和ZrO 2,則所得含稀土金屬的氧化物層238可以是包含Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物。如若堆疊中的各層是Y 2O 3和ZrO 2,則可以形成Y 2O 3-ZrO 2的固溶體。
參考圖2C,可以將具有應力消除層216的製品210插入到沉積腔室中。應力消除層216可以已經如參考圖2A所述地形成。可以將具有應力消除層216的製品210引入到一或更多個前驅物290中達一段持續時間,直到應力消除層216的表面吸滿所述一或更多個前驅物290以形成吸附層240。隨後,可以將製品210引入到反應物292中以與吸附層240反應以生長固體稀土氧化物層242。在實施例中,前驅物290和反應物292可對應於前驅物270和反應物275。因此,使用ALD在應力消除層216上完全生長或沉積稀土氧化物層242。引入前驅物290隨後引入反應物292的流程可重複n次,以使稀土氧化物層242具有期望的厚度,其中n是大於1的整數。
可以將具有應力消除層216和稀土氧化物層242的製品210引入一或更多個前驅物294中達一段持續時間,直到稀土氧化物層242的表面吸滿一或更多個前驅物294以形成吸附層244。隨後,可以將製品210引入到反應物296中以與吸附層244反應以生長阻擋層246。在實施例中,前驅物294和反應物296可對應於前驅物260和反應物265。因此,阻擋層244可以具有與應力消除層216相同的材料組分。使用ALD在稀土氧化物層242上完全生長或沉積阻擋層246。引入前驅物294隨後引入反應物296的流程可以執行一次或兩次,以形成可以防止稀土氧化物層中的晶體生長的薄阻擋層246。
如圖所示,稀土氧化物242和阻擋層228的沉積可以重複m次以形成交替層的堆疊248,其中m是大於1的整數值。N可以表示基於目標厚度和性質選擇的有限層數。交替層的堆疊248可以被認為是含有多個交替子層的含稀土金屬的氧化物層。
圖2C中所示的最終結構是塗覆有抗電漿塗層的製品210的橫截面側視圖,所述抗電漿塗層包含非晶應力消除層216以及含稀土金屬的氧化物242與第二氧化物或其他陶瓷228的交替層的堆疊248。非晶應力消除層216的厚度可以為約10nm至約1.5μm。在實施例中,應力消除層的厚度可以為約10-100nm。在其他實施例中,應力消除層216的厚度可以為約20-50nm。在又其他實施例中,應力消除層216的厚度可以為約20-30nm。
在一些實施例中,第二氧化物或其他陶瓷可以是與用於形成應力消除層的氧化物相同的氧化物(例如,Al 2O 3)。或者,第二氧化物或陶瓷可以是與用於形成應力消除層的氧化物不同的氧化物。
每個含稀土金屬的氧化物層的厚度可以為約5-10埃,並且可以通過執行約5-10個週期的ALD製程來形成,其中每個週期形成一含稀土金屬的氧化物奈米層(或者稍微小於或大於奈米層)。在一個實施例中,每個含稀土金屬的氧化物層使用約6-8個ALD週期來形成。第二氧化物或其他陶瓷的每一層可以由單個ALD週期(或幾個ALD週期)形成,並且所具有的厚度可以小於一個原子到幾個原子。各個含稀土金屬的氧化物層的厚度可以各自為約5-100埃,並且各個第二氧化物層的厚度在實施例中可以各自為約1-20埃而在其他實施例中可以為1-4埃。含稀土金屬的氧化物242和第二氧化物或其他陶瓷228的交替層的堆疊248的總厚度可以為約10nm至約1.5μm。在其他實施例中,堆疊248的厚度可以為約100nm至約1.5μm。在其他實施例中,堆疊248的厚度可以為約100nm至約300nm,或約100-150nm。多個含稀土金屬的氧化物層242之間的第二氧化物或其他陶瓷246的薄層可防止在含稀土金屬的氧化物層中的結晶形成。此可以使非晶氧化釔層能夠生長。
圖9至圖10示出了根據圖2C所描述的技術製造的量測資料。
在參考圖2A至圖2C描述的實施例中,表面反應(例如半反應)順序地進行,並且在實施例中各種前驅物和反應物不接觸。在引入新的前驅物或反應物之前,可以用惰性載氣(如氮氣或空氣)吹掃發生ALD製程的腔室,以去除任何未反應的前驅物和/或表面前驅物反應副產物。用於每一層的前驅物將是不同的,並且用於含釔氧化物層或其他含稀土金屬的氧化物層的第二前驅物可以是兩種含稀土金屬的氧化物前驅物的混合物以促進該等化合物的共沉積來形成單相材料層。在一些實施例中,使用至少兩種前驅物,在其他實施例中使用至少三種前驅物,並且在又其他實施例中使用至少四種前驅物。
取決於製程類型,可以在各種溫度下進行ALD製程。特定ALD製程的最佳溫度範圍被稱為「ALD溫度視窗」。低於ALD溫度視窗的溫度可能導致不良的生長速率和非ALD型沉積。高於ALD溫度視窗的溫度可能導致通過化學氣相沉積(CVD)機制發生的反應。ALD溫度視窗可以在約100℃至約400℃的範圍內。在一些實施例中,ALD溫度視窗在約120-300℃之間。
ALD製程允許在具有複雜幾何形狀、高縱橫比的孔和三維結構的製品和表面上存在具有均勻厚度的共形抗電漿塗層。每一前驅物對表面的足夠的暴露時間使前驅物能夠分散並與整個表面(包括其全部三維複雜特徵)完全反應。用於在高縱橫比結構中獲得共形ALD的暴露時間與所述縱橫比的平方成比例,並且可以使用建模技術來預測。此外,ALD技術比其他常用的塗覆技術更有利,因為ALD技術允許特定的組合物或配方的原位按需材料合成,而不需要源材料(如粉末原料和燒結的靶材)的冗長且困難的製造。在一些實施例中,使用ALD來塗覆縱橫比為約10:1至約300:1的製品。
利用本文所述的ALD技術,可以生長、沉積或共沉積多組分薄膜,如Y xAl yO z(例如,Y 3Al 5O 12)、Y xZr yO z和Y aZr xAl yO z、Y xEr yO z、Y xEr yF z或Y wEr xO yF z,例如通過使用用於生長如上所述並在下文實例中更詳細描述的單獨的或與一或更多個其他氧化物組合的含稀土金屬的氧化物的多種前驅物的適當混合物。
圖3A示出了根據實施例的用於在諸如處理腔室部件的製品上形成包含應力消除層和含稀土金屬的氧化物層的抗電漿塗層的方法300。方法300可用於塗覆任何製品,包括縱橫比為約3:1至約300:1(例如,縱橫比為20:1、50:1、100:1、150:1等)的製品。該方法可以任選地開始於選擇抗電漿塗層的應力消除層和含釔氧化物層的組分。組分選擇和形成方法可以由同一實體或由多個實體來執行。
所述方法可以任選地包括在方塊305處用酸溶液清洗製品。在一個實施例中,將製品浸泡在酸性溶液浴中。在實施例中,酸溶液可以是氫氟酸(HF)溶液、鹽酸(HCl)溶液、硝酸(HNO 3)溶液,或上述各者的組合。酸溶液可以從製品去除表面污染物和/或可以從製品表面去除氧化物。用酸溶液清洗製品可以提高使用ALD沉積的塗層的品質。在一個實施例中,使用含有約0.1-5.0體積%的HF的酸溶液來清洗由石英製成的腔室部件。在一個實施例中,使用含有約0.1-20體積%的HCl的酸溶液來清洗由Al 2O 3製成的製品。在一個實施例中,使用含有約5-15體積%的HNO 3的酸溶液來清洗由鋁和其他金屬製成的製品。
在方塊310處,將製品裝載到ALD沉積腔室中。在方塊320處,所述方法包括使用ALD將抗電漿塗層沉積到製品的表面上。在一個實施例中,在方塊325處執行ALD以沉積應力消除層。在一個實施例中,在方塊330處執行ALD以沉積或共沉積單獨的或者與一或更多個其他氧化物一起的含稀土金屬的氧化物層。ALD是如在實施例中執行的非常共形的製程,其可以導致抗電漿塗層的表面粗糙度匹配被塗覆的製品的下方表面的表面粗糙度。在一些實施例中,抗電漿塗層的總厚度可以為約20nm至約10μm。在其他實施例中,抗電漿塗層的厚度可以為約100nm至約2微米。抗電漿塗層在實施例中的孔隙率可以為約0%,或者在實施例中可以是無孔隙的,並且厚度變化可以為約+/-5%或更小、+/-10%或更小,或者+/-20%或更小。
在一個實施例中,在方塊335處執行ALD以沉積含有稀土金屬的氧化物和附加氧化物的交替層的堆疊。所述附加氧化物可以與用於應力消除層的氧化物相同或不同。
含釔氧化物層包含含釔氧化物並且可以包含一或更多個附加的稀土金屬氧化物。包含釔的含稀土金屬的氧化物材料可以在實施例中用於形成抗電漿塗層,因為含釔氧化物通常具有高穩定性、高硬度和優異的抗侵蝕性能。例如,Y 2O 3是最穩定的氧化物之一並且其標準生成吉布斯自由能(∆Gfº)為-1816.65kJ/mol,表明在標準條件下Y 2O 3與大多數製程化學品的反應在熱力學上是不利的。包含根據本文的實施例沉積的應力消除層和具有Y 2O 3的含稀土金屬的氧化物層的抗電漿塗層還可以具有關於許多電漿和化學環境的低侵蝕速率,如在200瓦特的偏壓和500℃下暴露於直接NF 3電漿化學品時約0μm/小時的侵蝕速率。例如,在200瓦特和500℃對直接NF 3電漿的1小時測試沒有造成可量測的侵蝕。根據本文實施例沉積的抗電漿塗層還可以於在實施例中高達約250℃或在實施例中高達約200℃或在其他實施例中從約200℃至約250℃的溫度下抗開裂和分層。相比之下,使用習用電漿噴塗或離子輔助沉積形成的塗層在處於或低於200℃的溫度下在沉積時形成裂紋。
可以形成抗電漿塗層的含釔氧化物化合物的實例包括Y 2O 3、Y xAl yO z(例如,Y 3Al 5O 12)、Y xZr yO z、Y aZr xAl yO z或Y xEr yO z。抗電漿塗層中的釔含量可以在約0.1原子%至接近100原子%的範圍內。對於含釔氧化物,釔含量可以在約0.1原子%至接近100原子%的範圍內,並且氧含量可以在約0.1原子%至接近100原子%的範圍內。
可形成抗電漿塗層的含鉺氧化物化合物的實例包括Er 2O 3、Er xAl yO z(例如,Er 3Al 5O 12)、Er xZr yO z、Er aZr xAl yO z、Y xEr yO z,以及Er aY xZr yO z(例如,Y 2O 3、ZrO 2和Er 2O 3的單相固溶體)。抗電漿塗層中的鉺含量可以在約0.1原子%至接近100原子%的範圍內。對於含鉺氧化物,鉺含量可以在約0.1原子%至接近100原子%的範圍內,並且氧含量可以在約0.1原子%至接近100原子%的範圍內。
有利地,Y 2O 3和Er 2O 3是可混溶的。Y 2O 3和Er 2O 3的任何組合皆可以形成單相固溶體。例如,剛好超過0mol%的Er 2O 3和剛好低於100mol%的Y 2O 3的混合物可以被組合並共沉積以形成作為單相固溶體的抗電漿塗層。另外,剛好超過0mol%的Er 2O 3和剛好低於100mol%的Y 2O 3的混合物可以被組合以形成作為單相固溶體的抗電漿塗層。Y xEr yO z組成的抗電漿塗層可以含有在超過0mol%至低於100mol%之間的Y 2O 3和在超過0mol%至低於100mol%之間的Er 2O 3。一些值得注意的實例包括90-99mol%的Y 2O 3和1-10mol%的Er 2O 3,80-89mol%的Y 2O 3和11-20mol%的Er 2O 3,70-79mol%的Y 2O 3和21-30mol%的Er 2O 3,60-69mol%的Y 2O 3和31-40mol%的Er 2O 3,50-59mol%的Y 2O 3和41-50mol%的Er 2O 3,40-49mol%的Y 2O 3和51-60mol%的Er 2O 3,30-39mol%的Y 2O 3和61-70mol%的Er 2O 3,20-29mol%的Y 2O 3和71-80mol%的Er 2O 3,10-19mol%的Y 2O 3和81-90mol%的Er 2O 3,以及1-10mol%的Y 2O 3和90-99mol%的Er 2O 3。Y xEr yO z的單相固溶體可以在低於約2330℃的溫度下具有單斜立方狀態。
有利地,ZrO 2可以與Y 2O 3和Er 2O 3組合以形成包含ZrO 2、Y 2O 3和Er 2O 3的混合物的單相固溶體(例如,Er aY xZr yO z)。Y aEr xZr yO z的固溶體可以具有立方形、六方形、四方形和/或立方螢石結構。Y aEr xZr yO z的固溶體可以包含超過0mol%至60mol%的ZrO 2,超過0mol%至99mol%的Er 2O 3,以及超過0mol%至99mol%的Y 2O 3。可以使用的ZrO 2的一些顯著量包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的Er 2O 3和/或Y 2O 3的一些顯著量包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%和90mol%。
Y aZr xAl yO z的抗電漿塗層可以包含超過0%至60mol%的ZrO 2,超過0mol%至99mol%的Y 2O 3,以及超過0mol%至60mol%的Al 2O 3。可以使用的ZrO 2的一些顯著量包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的Y 2O 3的一些顯著量包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%和90mol%。可以使用的Al 2O 3的一些顯著量包括2mol%、5mol%、10mol%、20mol%、30mol%、40mol%、50mol%和60mol%。在一個實例中,Y aZr xAl yO z的抗電漿塗層包含42mol%的Y 2O 3、40mol%的ZrO 2和18mol%的Y 2O 3,並具有層狀結構。在另一個實例中,Y aZr xAl yO z的抗電漿塗層包含63mol%的Y 2O 3、10mol%的ZrO 2和27mol%的Er 2O 3,並具有層狀結構。
在實施例中,包含應力消除層和Y 2O 3、Y xAl yO z(例如,Y 3Al 5O 12)、Y xZr yO z、Y aZr xAl yO z或Y xEr yO z的含稀土金屬的氧化物層的抗電漿塗層具有低放氣速率,約1000V/µm數量級介電擊穿電壓,小於約1E-8托/秒的氣密性(洩漏率),約600至約950或約685的維氏硬度,通過劃痕測試測得的約75mN至約100mN或約85mN的附著力,以及在室溫下通過X射線衍射測得的為約-1000至-2000MPa(例如,約-1140MPa)的薄膜應力。
圖3B示出了根據一個實施例的用於在鋁製品(例如,Al6061或Al6063)上形成含釔氧化物抗電漿塗層的方法350,所述鋁製品如處理腔室部件。所述方法可以任選地開始於選擇抗電漿塗層的組分。組分選擇和形成方法可以由相同的實體或由多個實體來執行。
在方法350的方塊352處,使用酸溶液清洗製品(例如,處理腔室部件)的表面。酸溶液可以是上面參考方法300的方塊305所述的酸溶液中的任一種。隨後可以將製品裝載到ALD沉積腔室中。
根據方塊355,所述方法包括通過ALD將非晶Al 2O 3的第一層沉積到製品的表面上。非晶Al 2O 3的厚度可以為約10nm至約1.5μm。根據方塊360,所述方法還包括通過經由ALD將含釔氧化物前驅物和另一種氧化物前驅物的混合物共沉積(即,在一個步驟中)到非晶Al 2O 3應力消除層上來形成第二層。例如,第二層可以包含與Al 2O 3或Er 2O 3或ZrO 2在單相中的Y 2O 3。或者,第二層可以包括多個相,如一個Y 4Al 2O 9的相和另一個包含Y 2O 3-ZrO 2的固溶體的相。
在一些實施例中,應力消除層可以由選自用於ALD的乙氧基二乙基鋁、三(乙基甲基胺基)鋁、仲丁醇鋁,三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁或三(二乙基胺基)鋁的氧化鋁前驅物形成。
在一些實施例中,含稀土金屬的氧化物層是氧化釔或包括氧化釔,並且用於形成含稀土金屬的氧化物層的氧化釔前驅物可以選自或包括三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)或丁醇釔(III)以用於ALD。
在一些實施例中,含稀土金屬的氧化物層包含氧化鋯。當含稀土金屬的氧化物層包含氧化鋯時,氧化鋯前驅物可以包括溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基胺基)鋯(IV)、四(二甲基胺基)鋯(IV)或四(乙基甲基胺基))鋯(IV)以用於ALD。該等氧化鋯前驅物中的一或更多個可以與氧化釔前驅物共沉積。
在一些實施例中,含稀土金屬的氧化物層還可以包含氧化鉺。氧化鉺前驅物可選自三甲基環戊二烯基鉺(III)(Er(MeCp) 3)、硼化鉺(erbium boranamide)(Er(BA) 3)、Er(TMHD) 3、鉺(III)三(2,2,6,6-四甲基-3,5-庚二酮酸酯)或三(丁基環戊二烯基)鉺(III)以用於ALD。
如上所述,含稀土金屬的氧化物層可以包含多種不同氧化物的混合物。為了形成此種含稀土金屬的氧化物層,可以將上述氧化釔前驅物、氧化鉺前驅物、氧化鋁前驅物和/或氧化鋯前驅物的任何組合一起引入ALD沉積腔室中以共沉積各種氧化物並形成具有單相或多相的層。ALD沉積或共沉積可以在臭氧、水、O自由基或可以充當氧供體的其他前驅物的存在下被執行。
在方塊370處,可以確定是否要添加附加層(例如,是否要形成多層堆疊)。如若要添加附加層,則該方法可以返回到方塊355並且可以形成附加的Al 2O 3層。否則,該方法可以進行到方塊375。
在方塊375處,加熱製品(例如,腔室部件)和腔室部件上的兩個抗電漿塗層。加熱可以在半導體處理期間通過退火製程、熱循環製程和/或通過製造步驟進行。在一個實施例中,在試樣塊上執行熱循環流程以作為製造後的檢查來偵測裂紋以用於品質控制,其中試樣塊被循環到零件在處理期間可能經歷的最高溫度。熱循環溫度取決於所述零件將用於的一或更多個特定應用。對於熱餅,例如(如圖4C所示),試樣塊可以在室溫與250℃之間循環。溫度可以基於製品、表面和薄膜層的構造材料來選擇,以保持它們的完整性並避免使該等部件中的任何或全部部件發生變形、分解或熔化。
圖4A至圖4C示出了根據不同實施例的抗電漿塗層的變化。圖4A示出了根據一個實施例的製品410的表面405的多層抗電漿塗層。表面405可以是各種製品410的表面。例如,製品410可以包括各種半導體處理腔室部件,包括但不限於基板支撐元件、靜電卡盤(ESC)、環(例如,製程套件環或單個環)、腔室壁、底座、氣體分配板、氣體管線、噴頭、噴嘴、蓋、襯墊、襯墊套件、遮罩、電漿螢幕、流量等化器、冷卻底座、腔室觀察口、腔室蓋等等。半導體處理腔室部件可以由金屬(如鋁、不銹鋼)、陶瓷、金屬-陶瓷複合物、聚合物、聚合物陶瓷複合物或其他合適的材料製成,並且還可以包含諸如AlN、Si、SiC、Al 2O 3、SiO 2等材料。
在圖4A中,雙層塗層組合物包含使用ALD製程塗覆到製品410的表面405上的非晶氧化鋁應力消除層和使用ALD製程塗覆到製品410的應力消除層上的含稀土金屬的氧化物層。
圖4A示出了噴頭400的仰視圖。下面提供的噴頭實例僅僅是示例性的腔室部件,所述噴頭的效能可以通過使用如在此的實施例中所述的抗電漿塗層來改進。應該理解的是,當用本文公開的抗電漿塗層塗覆時,其他腔室部件的效能亦可以得到改善。如在此所描繪的,噴頭400被選擇為具有複雜幾何形狀表面和高縱橫比孔的半導體處理腔室部件的例示。
根據本文的實施例,下表面405的複雜幾何形狀可以接納抗電漿塗層。噴頭400的下表面405限定佈置成均勻分佈的同心環的氣體導管410。在其他實施例中,氣體導管410可以被配置成替代的幾何構造,並且可以根據所利用的反應器和/或製程的類型而具有如需要較多或較少的氣體管道。使用ALD技術在表面405上和氣體導管孔410中生長或沉積抗電漿塗層,所述ALD技術使得能夠在表面上以及在氣體導管孔中進行具有相對均勻厚度和零孔隙率(即,無孔隙)的共形塗覆,而不管複雜的幾何形狀和孔的大縱橫比。
噴頭400可以暴露於諸如氟的腐蝕性化學品,並且可能由於與噴頭的電漿相互作用而被侵蝕。抗電漿塗層可以減少此種電漿相互作用並改善噴頭的耐用性。共形塗覆對於暴露於電漿的表面是重要的,因為經塗覆/未塗覆的邊界傾向在電容耦合電漿環境中電弧放電。使用ALD沉積的抗電漿塗層保持下表面405和氣體導管410的相對形狀和幾何構造,以便不干擾噴頭的功能。類似地,當應用於其他腔室部件時,抗電漿塗層可以保持要塗覆的表面的形狀和幾何構造,從而不干擾部件的功能、提供抗電漿性,並且提高整個表面的抗侵蝕性和/或抗腐蝕性。
塗層材料對電漿的抵抗力通過在經塗覆部件操作和暴露於電漿的持續時間內的「蝕刻速率」(ER)來量測,ER的單位可以為微米/小時(μm/hr)。量測可以在不同的處理時間之後進行。例如,可以在處理之前、在50個處理小時之後、在150個處理小時之後、在200個處理小時之後等等時進行量測。生長或沉積在噴頭上或任何其他處理腔室部件上的抗電漿塗層的組分變化可以導致多個不同的抗電漿性或侵蝕速率的值。另外,暴露於各種電漿的具有單一組分的抗電漿塗層可以具有多個不同的抗電漿性或侵蝕速率的值。例如,抗電漿材料可以具有與第一類型的電漿相關的第一抗電漿性或侵蝕速率和與第二類型的電漿相關的第二抗電漿性或侵蝕速率。在實施例中,在500℃下暴露於200W NF 3直接電漿1小時後沒有出現可偵測到的蝕刻。
圖4B示出了根據一個實施例塗佈的具有高縱橫比的氣體導管410的放大視圖。氣體導管410可具有長度L和直徑D。氣體導管410可以具有定義為L:D的高長徑比,其中所述長徑比可在約10:1至約300:1的範圍內。在一些實施例中,所述長徑比可以是約50:1至約100:1。
氣體導管410可具有內表面455,所述內表面可塗覆有抗電漿塗層。抗電漿塗層可以包含應力消除層460和含稀土金屬的氧化物層465。應力消除層460可以包含非晶Al 2O 3。含稀土金屬的氧化物層465可以包含單獨的或與附加的稀土金屬氧化物(例如,氧化鉺、氧化鋯等)一起的多晶氧化釔。含稀土金屬的氧化物層465可以具有任何含稀土金屬的氧化物材料,如上文所述。每個層可以使用ALD製程進行塗覆。ALD製程可以在氣體導管410的整個內表面上生長具有均勻厚度的無孔隙共形塗層,而不管所述內表面的高長徑比,同時確保最終的多組分塗層還可以足夠薄以便不堵塞噴頭中的氣體導管。
在一些實施例中,每個層可以包括均勻厚度的單層或薄層。每個單層或薄層的厚度可以為約0.1奈米至約100奈米。在其他實施例中,該等層可以包括具有均勻厚度的厚層。每個厚層的厚度可以為約100奈米至約1.5微米。在又其他實施例中,所述層可以包括單層、薄層和/或厚層的組合。
圖4C示出了根據實施例的熱餅腔室部件470。熱餅腔室部件470包括如本文實施例中所述的抗電漿塗層。熱餅是在空間ALD腔室中使用的八個相互隔離的噴頭中的一個。八個噴頭中的一些是電漿餅並且一些是熱餅。晶圓在處理期間位於該等噴頭下方,並移動經過每個噴頭,並暴露於該等噴頭順序提供的不同化學品和電漿。在一個實施例中,熱餅具有10:1縱橫比的孔475,並且暴露於惡劣的化學條件。
以下實例闡述來幫助理解在此描述的實施例,並且不應該被解釋為具體地限制在此描述和要求保護的實施例。該等變化,包括在本領域技術人員能力範圍內的現在已知或以後開發的所有等同物的替代,以及配方變化或試驗設計中的微小變化,應被認為符合在此併入的實施例的範圍。該等實例可以通過執行上述方法300或方法350來實現。
實施例1–在Al 6061基板上形成Al 2O 3應力消除層並用含Y 2O 3的塗層塗覆所述應力消除層
將抗電漿塗層沉積在Al 6061鋁基板上(例如,在約室溫至約300℃的溫度下)。使用原子層沉積在鋁基板上沉積非晶氧化鋁應力消除層。將用於應力消除層的前驅物在一毫托或幾毫托至一托或幾托的範圍內的壓力和約100-250℃的溫度下引入到基板。隨後,使用原子層沉積在應力消除層上沉積多晶含釔氧化物層。將用於含釔氧化物層的前驅物在一毫托或幾毫托到一托或幾托的壓力和約100-250℃的溫度下引入到基板。
使用特別是透射電子顯微鏡對鋁基板上的所得抗電漿塗層進行表徵。應力消除層的厚度為約5nm至約15nm,並且含釔氧化物層的厚度為約90nm至約110nm。
使用選擇性區域衍射和收斂射束電子衍射來確定每一層中的材料的結構。應力消除層中的氧化鋁具有非晶結構,而含釔氧化物層具有多晶結構。使用掃描電子顯微鏡(SEM)表徵塗覆前和塗覆後的鋁基板。SEM影像顯示,抗電漿塗層覆蓋了鋁基板上的所有特徵。
還量測了經塗覆的基板的擊穿電壓。對於1μm的氧化釔,擊穿電壓為約305至約560。在實施例中,抗電漿陶瓷塗層的擊穿電壓低於用於形成抗電漿陶瓷塗層的陶瓷的本徵擊穿電壓(intrinsic breakdown voltage)。使經塗覆的基板亦暴露於在500℃、200W下的NF 3直接電漿。由於與NF 3電漿發生反應,所以沒有觀察到可觀察到的蝕刻或表面劣化。
還在200℃對經塗覆的基板進行五(5)次熱週期。SEM影像顯示在塗層中沒有裂紋,而使用習用的電漿噴塗或離子輔助沉積塗層時會觀察到裂紋。還評估了經塗覆的基板的硬度。基板的維氏硬度為約500至約830或約626.58±98.91,或約5,500MPa至約9,000MPa或約6,766±1,068。經塗覆的基板的楊氏模量為約75GPa至約105GPa或約91.59±8.23GPa。經塗覆的基板在約0.110µm至約0.135µm或約0.125±0.007µm處顯示出最大硬度。
通過劃痕測試來量測塗層對鋁基板的附著力。第一次分層Lc發生在約75至約100mN或約85.17±9.59處。在室溫下通過X射線衍射量測經塗覆的基板的薄膜應力。薄膜應力為約-1140MPa或約-165.4(KSi)。
圖5示出以作為時間(分鐘)的函數的總質量損失(µg/cm 2)計的125℃下放氣比較測試的結果500。比較以下材料:經三(3)小時烘烤的塊體氧化釔材料505、經三(3)小時烘烤的多晶矽和氧化釔材料510、經三(3)小時烘烤的Dura HPM材料515、經三(3)小時烘烤的Bare SST材料520、使用ALD沉積在塗覆的1500nm鋁上的氧化鋁525和在不銹鋼(SST)材料上的Parylene® HT 530。如圖5所示,沉積在鋁上的氧化鋁525具有相對低的放氣。
實施例2–在350℃熱週期之後,在Al 6061基板上的具有在Al 2O 3層上的含有Y 2O 3/Al 2O 3交替子層的含稀土氧化物層的抗電漿塗層
圖6示出了通過透射電子能譜(TEM)產生的經塗覆的基板605的影像。基板605由鋁(Al6061)構成。使用ALD在基板605上沉積非晶氧化鋁應力消除層610。將包含交替的Y 2O 3和Al 2O 3子層的含稀土氧化物的層615沉積在應力消除層610上。基板605包括凹坑630。如圖所示,層610、615提供對凹坑630的共形覆蓋。例如,用應力消除層610密封凹坑630中的通道632。隨後,用含稀土金屬的氧化物層615密封凹坑630的剩餘部分。隨後具有應力消除層610和含稀土金屬的氧化物層615的基板605經受350℃下的熱週期,而沒有任何開裂或分層。示出了覆蓋層620,所述覆蓋層放置在樣品上以獲得TEM影像。然而,覆蓋層620不用於產品部分。
圖7A示出了如本文所述的抗電漿塗層的俯視SEM影像。圖7B示出了圖7A的抗電漿塗層的TEM橫截面圖。影像包括從以俯視影像描繪的區域708切出的試樣塊拍攝的俯視影像705和橫截面側視影像710。如橫截面側視圖影像710所示,製品715包含抗電漿塗層,所述抗電漿塗層包含應力消除層720和稀土氧化物層725。稀土氧化物層的厚度為約600nm並且應力消除層的厚度為約200nm。在室溫與200℃的溫度之間執行熱週期之後拍攝TEM影像。如圖所示,作為熱週期的結果在抗電漿塗層中沒有出現開裂,並且抗電漿塗層沒有從製品分層。類似的測試已經顯示,在250℃和300℃的熱週期後沒有開裂或分層的對應結果。
圖8A示出了在製品上沒有Al 2O 3應力消除層的Y 2O 3的ALD塗層804的俯視SEM影像。圖8B示出了製品802上圖8A的ALD塗層804的橫截面影像。如圖所示,在熱週期之後,在Y 2O 3塗層804中形成了裂紋805。
圖9示出了關於圖2C所描述的抗電漿陶瓷樣品的橫截面側視TEM影像。使用在明場(BF)TEM模式下以200kV操作的FEI Tecnai TF-20 FEG/TEM使樣品成像。如圖所示,樣品包括具有抗電漿塗層的製品910,所述抗電漿塗層包含厚度為約20nm的應力消除層915和包含交替子層的堆疊的含稀土金屬的氧化物層920,所述堆疊的厚度為約134nm。在交替層的堆疊920中可以看到顆粒的結晶對比。然而,在所示的TEM影像中,交替層的堆疊920大部分是非晶的,具有短程有序性。
圖10是圖9所示的抗電漿陶瓷樣品的掃描透射電子顯微鏡能量色散x射線光譜(STEM-EDS)線掃描。如圖所示,製品910是鋁6061基板。應力消除層915包括約60-80原子%的氧1010和約20-40原子%的鋁1025。含稀土金屬的氧化物層920主要由氧1010和釔1015以及約5原子%的鋁構成。
上文的描述闡述了許多具體細節,諸如具體系統、部件、方法等的實例,以便提供對本發明的數個實施例的良好理解。然而,對於本領域技術人員來說將顯而易見的是,可以在沒有該等具體細節的情況下實踐本發明的至少一些實施例。在其他情況下,沒有詳細描述公知的部件或方法,或是以簡單的方塊圖格式來呈現,以避免不必要地混淆本發明。因此,所闡述的具體細節僅僅是示例性的。具體的實施方式可以不同於該等示例性細節,並且仍然被認為是在本發明的範圍內。
貫穿本說明書對「一個實施例」或「一實施例」的提及意味著結合所述實施例描述的具體特徵、結構或特性被包括在至少一個實施例中。因此,貫穿本說明書在各個地方出現的短語「在一個實施例中」或「在一實施例中」並不一定都指的是相同的實施例。另外,術語「或」意欲表示包含性的「或」而不是排他性的「或」。當在此使用術語「約」或「近似」時,此意欲表示所提出的標稱值精確在±10%內。
儘管在本文中方法的操作以具體順序示出和描述,但是可以改變每個方法的操作的順序,使得某些操作可以以相反的循序執行,或者使得可以將某些操作至少部分與其他操作同時進行。在另一實施例中,不同操作的指令或子操作可以是以間歇的和/或交替的方式。
應當理解,上述描述意欲是說明性的,而不是限制性的。在閱讀和理解上述描述之後,許多其他實施例對於本領域的技術人員將是顯而易見的。因此,本發明的範圍應參照所附請求項以及所提出的該等請求項的等同物的全部範疇來確定。
100:處理腔室 102:腔室主體 104:噴頭底座 106:內部容積 108:側壁 110:底部 116:外襯墊 118:內襯墊 126:排氣口 128:幫浦系統 130:噴頭 132:氣體遞送孔 133:氣體分配板 136:抗電漿塗層 138:結合部 144:基板 146:環 148:基板支撐元件 150:靜電卡盤(ESC) 152:支撐基座 158:氣體面板 162:安裝板 164:導熱底座 166:靜電盤 168:導管 170:導管 172:流體源 174:隔熱器 176:加熱元件 178:加熱器電源 180:夾持電極 182:卡緊電源 184:電源 186:電源 188:匹配電路 190:溫度感測器 192:溫度感測器 195:控制器 210:製品 214:吸附層 216:應力消除層 218:吸附層 220:第二氧化物層 222:吸附層 224:金屬氧化物層 226:吸附層 228:金屬氧化物層 230:層 232:層 234:層 236:層 237:堆疊 238:氧化物層 240:吸附層 242:稀土氧化物層 244:吸附層 246:阻擋層 248:堆疊 260:第一前驅物 265:第一反應物 270:前驅物 275:反應物 280:前驅物 282:反應物 284:前驅物 286:反應物 290:前驅物 292:反應物 294:前驅物 296:反應物 300:方法 305:方塊 310:方塊 320:方塊 325:方塊 330:方塊 350:方法 352:方塊 355:方塊 360:方塊 370:方塊 375:方塊 400:噴頭 405:表面 410:製品 455:內表面 460:應力消除層 465:氧化物層 470:熱餅腔室部件 475:孔 500:結果 505:塊體氧化釔材料 510:氧化釔材料 515:Dura HPM材料 520:Bare SST材料 525:氧化鋁 530:Parylene® HT 605:基板 610:應力消除層 615:層 620:覆蓋層 630:凹坑 632:通道 705:俯視影像 708:區域 710:影像 715:製品 720:應力消除層 725:稀土氧化物層 802:製品 804:ALD塗層 805:裂紋 910:製品 915:應力消除層 920:氧化物層 1010:氧 1015:釔 1025:鋁
在附圖的諸圖中通過實例而非限制的方式示出了本公開,其中相似的附圖標記表示相似的元件。應該注意的是,在本公開中對「一」或「一個」實施例的不同提及不一定是指相同的實施例,並且此種提及意味著至少一者。
圖1示出了處理腔室的剖視圖。
圖2A示出了根據如本文所述的原子層沉積技術的沉積製程的一個實施例。
圖2B示出了根據如本文所述的原子層沉積技術的沉積製程的另一個實施例。
圖2C示出了根據如本文所述的原子層沉積技術的沉積製程的另一個實施例。
圖3A示出了使用如本文所述的原子層沉積來形成抗電漿塗層的方法。
圖3B示出了使用如本文所述的原子層沉積來形成抗電漿塗層的方法。
圖4A示出了根據實施例的噴頭腔室部件。
圖4B示出了氣體導管的放大視圖,其中氣體導管的內部塗覆有如本文所述的抗電漿塗層。
圖4C示出了根據實施例的熱餅腔室部件。
圖5是比較不同材料每分鐘的放氣總質量損失(µg/cm 2)的圖表。
圖6是在具有高縱橫比特徵的部件上的如本文所述的抗電漿塗層的影像。
圖7A示出了如本文所述的抗電漿塗層的俯視SEM影像。
圖7B示出了圖7A的抗電漿塗層的TEM橫截面影像。
圖8A示出了在製品上沒有Al 2O 3應力消除層的Y 2O 3的ALD塗層的俯視SEM影像。
圖8B示出了製品上圖8A的ALD塗層的橫截面影像。
圖9示出了關於圖2C所描述的Al 6061基板上的抗電漿陶瓷塗層結構的橫截面側視圖TEM影像。
圖10是圖9所示的抗電漿陶瓷樣品的掃描透射電子顯微鏡能量色散x射線光譜(STEM-EDS)線掃描。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
305:方塊
310:方塊
320:方塊
325:方塊
330:方塊
335:方塊

Claims (29)

  1. 一種製品,包括: 一主體;以及 一抗電漿塗層,在該主體的至少一部分的一表面上,其中該抗電漿塗層包括: 一應力消除(stress relief)層,具有約10nm至約1.5μm的一厚度;以及 一含稀土金屬的氧化物與一第二氧化物的數個交替層的一堆疊,該數個交替層的堆疊包括: 複數層的該含稀土金屬的氧化物,每一層具有約1埃至約100埃的一厚度且具有一多晶或非晶結構;及 複數層的該第二氧化物,每一層具有約0.5埃至約4埃的一厚度, 其中該含稀土金屬的氧化物自然地發生於一結晶結構中,其中該複數層的該第二氧化物使該複數層的該含稀土金屬的氧化物具有該多晶或非晶結構而非該結晶結構,且其中該複數層的該含稀土金屬的氧化物對該複數層的該第二氧化物的一厚度比是2:1至25:1。
  2. 如請求項1所述之製品,其中該抗電漿塗層均勻地覆蓋該表面,在高達350℃的一溫度下抗開裂和抗分層,並且無孔隙。
  3. 如請求項1所述之製品,其中該數個交替層的堆疊包括約10至90mol%的Y 2O 3及約10至90mol%的ZrO 2
  4. 如請求項1所述之製品,其中該數個交替層的堆疊包括約40至80mol%的Y 2O 3及約20至60mol%的ZrO 2
  5. 如請求項1所述之製品,其中該數個交替層的堆疊包括約60至70mol%的Y 2O 3及約30至40mol%的ZrO 2的一混合物。
  6. 如請求項1所述之製品,其中上面沉積有該抗電漿塗層的該主體的該表面的長寬縱橫比為約10:1至約300:1,並且其中該抗電漿塗層均勻地覆蓋該表面。
  7. 如請求項1所述之製品,其中該製品是用於一半導體處理腔室的一腔室部件,該腔室部件選自由一腔室壁、一噴頭、一電漿生成單元、一擴散器、一噴嘴、以及一氣體管線所組成之群組。
  8. 如請求項1所述之製品,其中該數個交替層的堆疊包括:Y 4Al 2O 9,以及Y 2O 3-ZrO 2的固溶體。
  9. 如請求項1所述之製品,其中該應力消除層包括非晶Al 2O 3
  10. 如請求項1所述之製品,其中該抗電漿塗層在高達350℃的一溫度下抗開裂和抗分層,並且無孔隙。
  11. 如請求項1所述之製品,其中該含稀土金屬的氧化物包含一材料,該材料選自由Y 2O 3、Y 3Al 5O 12(YAG)、Er 2O 3、Er 3Al 5O 12(EAG)、Gd 2O 3、包含10 mol%至90 mol%之Y 2O 3及10 mol%至90 mol%之ZrO 2的Y 2O 3-ZrO 2的固溶體、及包含Y 4Al 2O 9與Y 2O 3-ZrO 2的固溶體的陶瓷化合物所組成之群組。
  12. 一種製品,包括: 一部分,具有約3:1至約300:1的長度對直徑的一縱橫比;以及 一抗電漿塗層,在該製品的該部分的一表面上,其中該抗電漿塗層包括: 一應力消除層,具有約10nm至約1.5μm的一厚度;以及 一含稀土金屬的氧化物與一第二氧化物的數個交替層的一堆疊,該數個交替層的堆疊包括: 複數層的該含稀土金屬的氧化物,每一層具有約1埃至約100埃的一厚度且具有一多晶或非晶結構;及 複數層的該第二氧化物,每一層具有約0.5埃至約4埃的一厚度, 其中該含稀土金屬的氧化物自然地發生於一結晶結構中,其中該複數層的該第二氧化物使該複數層的該含稀土金屬的氧化物具有該多晶或非晶結構而非該結晶結構,且其中該複數層的該含稀土金屬的氧化物對該複數層的該第二氧化物的一厚度比是2:1至25:1;及 其中該抗電漿塗層均勻地覆蓋該部分,在高達350℃的一溫度下抗開裂和抗分層,並且無孔隙。
  13. 如請求項12所述之製品,其中該製品是選自由一腔室壁、一電漿生成單元、一噴頭、一擴散器、一噴嘴、一氣體分配中心組件以及一氣體管線所組成之群組的一腔室部件。
  14. 如請求項12所述之製品,其中該縱橫比是約10:1至約200:1。
  15. 如請求項12所述之製品,其中該應力消除層包含非晶Al 2O 3,以及其中該應力消除層是一阻擋層以防止雜質從該製品擴散。
  16. 如請求項12所述之製品,其中該含稀土金屬的氧化物之層包含約0.1mol%至約90mol%的Y 2O 3和約0.1mol%至約90mol%的另一種金屬氧化物的一混合物。
  17. 如請求項12所述之製品,其中: 該第二氧化物的該等層具有與該應力消除層相同的材料組成; 該應力消除層具有約10nm至1.5μm的一厚度;及 該數個交替層的堆疊具有約10nm至1.5μm的一總厚度。
  18. 如請求項12所述之製品,其中該含稀土金屬的氧化物包含一材料,該材料具有自然結晶之結構,該材料選自由Y 2O 3、Y 3Al 5O 12(YAG)、Er 2O 3、Er 3Al 5O 12(EAG)、Gd 2O 3、包含10 mol%至90 mol%之Y 2O 3及10 mol%至90 mol%之ZrO 2的Y 2O 3-ZrO 2的固溶體、及包含Y 4Al 2O 9與Y 2O 3-ZrO 2的固溶體的陶瓷化合物所組成之群組。
  19. 一種方法,包括: 使用一原子層沉積製程將一抗電漿塗層沉積到一腔室部件的一表面上,包括: 使用原子層沉積在該表面上沉積一應力消除層至約10nm到約1.5μm的一厚度;以及 使用原子層沉積在該應力消除層上沉積一含稀土金屬的氧化物與一第二氧化物的數個交替層的一堆疊,該數個交替層的堆疊包括: 複數層的該含稀土金屬的氧化物,每一層具有約1埃至約100埃的一厚度且具有一多晶或非晶結構;及 複數層的該第二氧化物,每一層具有約0.5埃至約4埃的一厚度, 其中該含稀土金屬的氧化物自然地發生於一結晶結構中,其中該複數層的該第二氧化物使該複數層的該含稀土金屬的氧化物具有該多晶或非晶結構而非該結晶結構,且其中該複數層的該含稀土金屬的氧化物對該複數層的該第二氧化物的一厚度比是2:1至25:1;及 其中該抗電漿塗層均勻地覆蓋該腔室部件的該表面,在高達350℃的一溫度下抗開裂和抗分層,並且無孔隙。
  20. 如請求項19所述之方法,其中沉積該應力消除層包含沉積非晶氧化鋁。
  21. 如請求項19所述之方法,其中該複數層的該含稀土金屬的氧化物中的至少一層包括一含釔氧化物,且該至少一層是透過下述方式沉積: 執行一沉積週期,包含: 將一含釔前驅物注入含有該腔室部件的一沉積腔室中以使該含釔前驅物吸附到該應力消除層的一表面上以形成一第一半反應;以及 將一含氧反應物注入該沉積腔室中以形成一第二半反應;以及 重複該沉積週期一次或更多次,直至獲得一目標厚度。
  22. 如請求項19所述的方法,其中沉積該複數層的該含稀土金屬的氧化物的至少一層包含:交替沉積一含釔氧化物和一或更多個附加金屬氧化物,以形成一單相或多相的含釔氧化物層,其以下述方式執行: 執行一沉積週期,包括: 將一含釔前驅物注入含有該腔室部件的一沉積腔室中以使該含釔前驅物吸附到該應力消除層的一表面上以形成一第一半反應;以及 將一含氧反應物注入該沉積腔室中以形成一第二半反應和一第一層; 將一含金屬前驅物注入該沉積腔室中以使該含金屬前驅物吸附到該第一層的一表面上以形成一第三半反應;以及 將該含氧反應物或一替代的含氧反應物注入該沉積腔室中以形成一第四半反應;以及 重複該沉積週期一次或更多次,直到達到一目標厚度。
  23. 如請求項19所述的方法,其中沉積該複數層的該含稀土金屬的氧化物的至少一層包含:共沉積一含釔氧化物和一附加金屬氧化物,以形成一單相或多相的含釔氧化物層,其以下述方式執行: 執行一沉積週期,包含: 將該含釔氧化物的一第一前驅物和該附加金屬氧化物的一第二前驅物的一混合物共注入含有該腔室部件的一沉積腔室中,以使該第一前驅物和該第二前驅物吸附到該應力消除層的一表面上以形成一第一半反應;以及 將一含氧反應物注入該沉積腔室中以形成一第二半反應;以及 重複該沉積週期一次或更多次,直到達到一目標厚度。
  24. 如請求項23所述的方法,其中該附加金屬氧化物選自由Er 2O 3、Al 2O 3以及ZrO 2所組成之群組。
  25. 如請求項23所述的方法,其中該含釔氧化物層包含約0mol%至約90mol%的Y 2O 3和約0.1mol%至約90mol%的該附加金屬氧化物的一混合物。
  26. 如請求項23所述的方法,其中該含釔氧化物層選自由Y 3Al 5O 12(YAG)、Y 2O 3-ZrO 2的固溶體以及包含Y 4Al 2O 9與Y 2O 3-ZrO 2的固溶體的陶瓷化合物所組成之群組。
  27. 如請求項19所述的方法,其中在上面沉積該抗電漿塗層的該腔室部件的該表面具有約10:1至約300:1的長度對直徑的一縱橫比,以及其中該抗電漿塗層均勻地覆蓋該表面。
  28. 如請求項19所述的方法,其中該腔室部件是用於一半導體處理腔室的一腔室部件,選自由一腔室壁、一噴頭、一電漿生成單元、一擴散器、一噴嘴以及一氣體管線所組成之群組。
  29. 如請求項19所述之方法,其中該含稀土金屬的氧化物包含一材料,該材料具有自然結晶之結構,該材料選自由Y 2O 3、Y 3Al 5O 12(YAG)、Er 2O 3、Er 3Al 5O 12(EAG)、Gd 2O 3、包含10 mol%至90 mol%之Y 2O 3及10 mol%至90 mol%之ZrO 2的Y 2O 3-ZrO 2的固溶體、及包含Y 4Al 2O 9與Y 2O 3-ZrO 2的固溶體的陶瓷化合物所組成之群組。
TW112122370A 2017-01-20 2018-01-17 用於腔室中的製品 TW202340501A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/411,892 US10186400B2 (en) 2017-01-20 2017-01-20 Multi-layer plasma resistant coating by atomic layer deposition
US15/411,892 2017-01-20
US15/849,253 US10573497B2 (en) 2017-01-20 2017-12-20 Multi-layer plasma resistant coating by atomic layer deposition
US15/849,253 2017-12-20

Publications (1)

Publication Number Publication Date
TW202340501A true TW202340501A (zh) 2023-10-16

Family

ID=62905649

Family Applications (5)

Application Number Title Priority Date Filing Date
TW107121231A TWI755549B (zh) 2017-01-20 2018-01-17 塗覆製品及塗覆腔室部件之方法
TW112122370A TW202340501A (zh) 2017-01-20 2018-01-17 用於腔室中的製品
TW111146157A TW202314017A (zh) 2017-01-20 2018-01-17 用於腔室中的製品
TW111101280A TWI808608B (zh) 2017-01-20 2018-01-17 用於腔室中的製品
TW107101670A TWI755471B (zh) 2017-01-20 2018-01-17 塗覆腔室部件之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107121231A TWI755549B (zh) 2017-01-20 2018-01-17 塗覆製品及塗覆腔室部件之方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW111146157A TW202314017A (zh) 2017-01-20 2018-01-17 用於腔室中的製品
TW111101280A TWI808608B (zh) 2017-01-20 2018-01-17 用於腔室中的製品
TW107101670A TWI755471B (zh) 2017-01-20 2018-01-17 塗覆腔室部件之方法

Country Status (6)

Country Link
US (3) US10186400B2 (zh)
JP (3) JP6987646B2 (zh)
KR (2) KR102594085B1 (zh)
CN (2) CN108642475B (zh)
SG (1) SG10201800531WA (zh)
TW (5) TWI755549B (zh)

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020086891A2 (en) * 2018-10-25 2020-04-30 Greene, Tweed Technologies, Inc. Plasma resistant multi-layer coatings and methods of preparing same
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20220042161A1 (en) * 2018-12-05 2022-02-10 Kyocera Corporation Member for plasma processing device and plasma processing device provided with same
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US20220028662A1 (en) * 2018-12-13 2022-01-27 Lam Research Corporation Multilayer coatings of component parts for a work piece processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200086582A (ko) 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020132947A (ja) * 2019-02-20 2020-08-31 日本特殊陶業株式会社 膜付き部材及びその製造方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020172070A1 (en) * 2019-02-22 2020-08-27 Lam Research Corporation Electrostatic chuck with powder coating
JP2020141123A (ja) * 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20210126130A (ko) * 2019-03-08 2021-10-19 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버를 위한 다공성 샤워헤드
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP7290716B2 (ja) * 2019-04-26 2023-06-13 京セラ株式会社 プラズマ処理装置用部材およびプラズマ処理装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7005082B2 (ja) * 2019-07-03 2022-02-04 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112553592B (zh) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110578143B (zh) * 2019-09-30 2021-10-22 中国科学院金属研究所 利用大气等离子喷涂制备Al-ZrO2/Y2O3复合涂层材料的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
KR20210045216A (ko) * 2019-10-16 2021-04-26 (주)포인트엔지니어링 공정챔버용 내부 금속 파트 및 공정챔버용 내부 금속 파트의 박막층 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR102259919B1 (ko) * 2020-03-06 2021-06-07 주식회사 그린리소스 챔버 코팅재 및 그 제조 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7140222B2 (ja) * 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114695044A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 一种基座组件以及等离子体处理设备
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024097505A1 (en) * 2022-10-31 2024-05-10 Lam Research Corporation Component with a dual layer hermetic atomic layer deposition coatings for a semiconductor processing chamber

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) * 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) * 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (zh) * 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9975320B2 (en) * 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
SG10201806706VA (en) * 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
TWI683888B (zh) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 塗佈有經氟退火膜之物品
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) * 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) * 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
CN207193910U (zh) 2017-06-09 2018-04-06 郑州工业应用技术学院 一种桥梁支撑用减震装置

Also Published As

Publication number Publication date
TW201840896A (zh) 2018-11-16
TWI808608B (zh) 2023-07-11
KR20180086157A (ko) 2018-07-30
TW202219299A (zh) 2022-05-16
JP7481317B2 (ja) 2024-05-10
CN113652669A (zh) 2021-11-16
TW201840892A (zh) 2018-11-16
KR102296911B1 (ko) 2021-08-31
TWI755471B (zh) 2022-02-21
JP2022046471A (ja) 2022-03-23
KR102594085B1 (ko) 2023-10-24
US10573497B2 (en) 2020-02-25
US11251023B2 (en) 2022-02-15
US20180240648A1 (en) 2018-08-23
SG10201800531WA (en) 2018-08-30
CN108642475A (zh) 2018-10-12
US10186400B2 (en) 2019-01-22
CN108330467B (zh) 2021-08-31
US20180209042A1 (en) 2018-07-26
JP2018188735A (ja) 2018-11-29
KR20180086161A (ko) 2018-07-30
JP6987646B2 (ja) 2022-01-05
CN108642475B (zh) 2021-08-31
TW202314017A (zh) 2023-04-01
US20200185200A1 (en) 2020-06-11
TWI755549B (zh) 2022-02-21
CN108330467A (zh) 2018-07-27
US20220157568A1 (en) 2022-05-19
JP7026014B2 (ja) 2022-02-25
JP2018150617A (ja) 2018-09-27

Similar Documents

Publication Publication Date Title
TWI755471B (zh) 塗覆腔室部件之方法
TWI748046B (zh) 原子層沉積之多孔體的抗電漿塗佈
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
TW202012168A (zh) 通過原子層沉積來沉積的抗侵蝕金屬氧化物塗層
US12002657B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
CN113652669B (zh) 通过原子层沉积获得的多层抗等离子体涂层