CN108642475A - 一种制品及方法 - Google Patents

一种制品及方法 Download PDF

Info

Publication number
CN108642475A
CN108642475A CN201810645076.8A CN201810645076A CN108642475A CN 108642475 A CN108642475 A CN 108642475A CN 201810645076 A CN201810645076 A CN 201810645076A CN 108642475 A CN108642475 A CN 108642475A
Authority
CN
China
Prior art keywords
oxide
layer
coating
rare earth
earth metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810645076.8A
Other languages
English (en)
Other versions
CN108642475B (zh
Inventor
邬笑炜
D·芬威克
J·Y·孙
G·詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108642475A publication Critical patent/CN108642475A/zh
Application granted granted Critical
Publication of CN108642475B publication Critical patent/CN108642475B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Formation Of Insulating Films (AREA)
  • Medical Preparation Storing Or Oral Administration Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本公开涉及一种制品及方法。本文描述了使用原子层沉积(ALD)工艺将抗等离子体涂层沉积到腔室部件的表面上的制品、系统和方法。抗等离子体涂层具有应力消除层和包含Y2O3‑ZrO2固溶体的层,并且均匀地覆盖特征,诸如纵横比为约3:1至约300:1的那些特征。

Description

一种制品及方法
本申请是申请日为2018年1月22日、申请号为201810060787.9、名称为“通过原子层沉积获得的多层抗等离子体涂层”的中国专利申请的分案申请。
技术领域
本公开的实施方式涉及制品、经涂覆的腔室部件以及用多层抗等离子体涂层涂覆腔室部件的方法。等离子体陶瓷涂层具有非晶应力消除层和含有一种或多种稀土金属(诸如含钇氧化物)的氧化物层。使用原子层沉积形成涂层的每一层。
背景技术
各种制造工艺使半导体工艺腔室部件暴露于高温、高能等离子体、腐蚀性气体的混合物、高应力,以及它们的组合。这些极端条件可能侵蚀和/或腐蚀腔室部件,从而增加腔室部件对缺陷的敏感性。希望减少这些缺陷并改善在这种极端环境下部件的耐侵蚀性和/或耐腐蚀性。
通常通过诸如热喷涂、溅射、离子辅助沉积(IAD)、等离子体喷涂或蒸发技术之类的各种方法将保护涂层沉积在腔室部件上。这些技术不能将涂层沉积到腔室部件的某些纵横比为约10:1至约300:1的特征(例如,凹坑、喷头孔等)中。不能涂覆此类特征可能导致质量差的膜,或者腔室部件的一部分根本没有被涂覆。
发明内容
本文所述的一些实施方式覆盖一种具有纵横比为约3:1至约300:1的部分的制品。所述制品包括在所述制品的所述部分的表面上的抗等离子体涂层。抗等离子体涂层包含厚度为约10nm至约1.5μm的非晶应力消除层和厚度为约10nm至约1.5μm的含稀土金属的氧化物层,其中所述含稀土金属的氧化物层覆盖所述非晶应力消除层。抗等离子体涂层均匀地覆盖所述部分,在高达300℃的温度下抗开裂和分层,并且无孔隙。
在一些实施方式中,一种方法包括使用原子层沉积(ALD)工艺将抗等离子体涂层沉积到腔室部件的表面上。ALD工艺包括使用ALD在表面上沉积非晶应力消除层至约10nm到约1.5μm的厚度,以及使用ALD在应力消除层上沉积含稀土金属的氧化物层至约10nm到约1.5μm的厚度。抗等离子体涂层均匀地覆盖腔室部件的表面,在高达350℃的温度下抗开裂和分层,并且无孔隙。在一些实施方式中,沉积含稀土金属的氧化物包括共沉积含钇氧化物和附加金属氧化物以形成单相含钇氧化物层。共沉积可以通过将含钇氧化物的第一前驱物和附加金属氧化物的第二前驱物的混合物共注入包含腔室部件的沉积腔室中以使第一前驱物和第二前驱物吸附到非晶应力消除层的表面上以形成第一半反应。随后,可以将含氧反应物注入沉积室中以形成第二半反应。
在一些实施方式中,一种方法包括使用原子层沉积(ALD)工艺将抗等离子体涂层沉积到腔室部件的表面上。ALD工艺包括使用多个循环的ALD工艺在表面上沉积非晶应力消除层至约10nm到约1.5μm的厚度。ALD工艺进一步包括随后沉积含稀土金属的氧化物和第二氧化物的交替层叠层至约10nm到约1.5μm的厚度。含稀土金属氧化物的层中的各层通过执行约1-30个循环的ALD工艺而形成并具有约1-100埃的厚度。第二氧化物的层中的各层通过执行1-2个循环的ALD工艺而形成并且具有约0.5-4埃的厚度。第二氧化物的层防止含稀土金属的氧化物的层中的结晶形成。
附图说明
在附图的诸图中通过示例而非限制的方式示出了本公开,其中相似的附图标记表示相似的元件。应该注意的是,在本公开中对“一”或“一个”实施方式的不同提及不一定是指相同的实施方式,并且这样的提及意味着至少一个。
图1示出了处理腔室的剖视图。
图2A示出了根据如本文所述的原子层沉积技术的沉积工艺的一个实施方式。
图2B示出了根据如本文所述的原子层沉积技术的沉积工艺的另一个实施方式。
图2C示出了根据如本文所述的原子层沉积技术的沉积工艺的另一个实施方式。
图3A示出了使用如本文所述的原子层沉积来形成抗等离子体涂层的方法。
图3B示出了使用如本文所述的原子层沉积来形成抗等离子体涂层的方法。
图4A示出了根据实施方式的喷头腔室部件。
图4B示出了气体导管的放大视图,其中气体导管的内部涂覆有如本文所述的抗等离子体涂层。
图4C示出了根据实施方式的热饼腔室部件。
图5是比较不同材料每分钟的放气总质量损失(μg/cm2)的图表。
图6是在具有高纵横比特征的部件上的如本文所述的抗等离子涂层的图像。
图7A示出了如本文所述的抗等离子体涂层的俯视SEM图像。
图7B示出了图7A的抗等离子体涂层的TEM横截面图像。
图8A示出了在制品上没有Al2O3应力消除层的Y2O3的ALD涂层的俯视SEM图像。
图8B示出了制品上图8A的ALD涂层的横截面图像。
图9示出了关于图2C所描述的Al 6061基板上的抗等离子体陶瓷涂层结构的横截面侧视图TEM图像。
图10是图9所示的抗等离子体陶瓷样品的扫描透射电子显微镜能量色散x射线光谱(STEM-EDS)线扫描。
具体实施方式
在此描述的实施方式涵盖制品、经涂覆的腔室部件和其中将具有应力消除层和含稀土金属的氧化物层(诸如含钇氧化物层)的抗等离子体涂层沉积在部件的表面上的方法。如本文所用,术语抗等离子体是指对等离子体以及化学和自由基具有抗性。表面可以是铝(例如Al 6061、Al 6063)或陶瓷材料。沉积工艺是原子层沉积(ALD)工艺,该ALD工艺可以包括共沉积用于含稀土金属的氧化物层的前驱物。抗等离子体涂层可以由双层叠层构成。双层叠层可以包含氧化铝(Al2O3)(诸如非晶Al2O3)应力消除层,和含钇氧化物层。本文中的实施方式以含钇氧化物层作为示例进行描述。应当理解,顶层可以包含任何稀土金属氧化物,或稀土金属氧化物的单相或多相混合物(即,具有或不具有钇)。
多层抗等离子体涂层中各层的厚度可以从约10nm至约1.5μm。在实施方式中,应力消除层(例如,非晶Al2O3)的厚度可以为约1.0μm,并且含稀土金属的氧化物层的厚度可以为约50nm。含稀土金属的氧化物层的厚度与应力消除层的厚度的比率可以是200:1至1:200。厚度比率可以根据具体的腔室应用来选择。涂层可以被退火以在两个层之间形成包含互扩散的固态相的一个或多于一个的中间层。抗等离子体涂层可以涂覆或覆盖制品中纵横比为约10:1至约300:1的特征的表面。抗等离子体涂层还可以以基本均匀的厚度共形地覆盖此类特征。在一个实施方式中,抗等离子体涂层具有对下方表面的共形覆盖,该下方表面涂覆有(包括经涂覆的表面特征)具有小于约+/-20%的厚度变化、+/-10%的厚度变化、+/-5%的厚度变化或者更小的厚度变化的均匀厚度。
本文描述的实施方式使腔室部件和其他制品的高纵横比特征能够有效地涂覆有具有应力消除层(例如,非晶Al2O3)和含稀土金属的氧化物层(诸如含钇氧化物层(例如,与另一种稀土金属氧化物以单相沉积的Y2O3))的抗等离子体涂层。抗等离子体涂层在高纵横比特征内是共形的并且可以用基本上均匀的涂层(例如,具有约+/-5%或更小的厚度变化)覆盖所述特征。抗等离子体涂层还是非常致密的,具有的孔隙率为约0%(例如,在实施方式中,抗等离子体涂层可以是无孔隙的)。具有应力消除层和含稀土金属的氧化物层的抗等离子体涂层可以抵抗来自等离子体蚀刻化学物质(诸如CCl4/CHF3等离子体蚀刻化学物质、HCl3Si蚀刻化学物质和NF3蚀刻化学物质)的腐蚀和侵蚀。此外,本文所述的具有应力消除层和含稀土金属的氧化物层的抗等离子涂层可以在高达约350℃的温度下抗开裂和分层。例如,具有本文所述的抗等离子涂层的腔室部件可用于包括加热至约200℃的工艺。腔室部件可以在室温与约200℃的温度之间进行热循环,而不会在抗等离子体涂层中引入任何裂纹或分层。
ALD允许通过与制品的表面进行化学反应来控制材料的自限性沉积。除了是一个共形的工艺外,ALD也是均匀的工艺。制品的所有暴露面,包括高纵横比的特征(例如,约10:1至约300:1)将沉积有相同或大致相同量的材料。ALD工艺的典型反应循环开始于将前驱物(即,单一化学品A)注入ALD腔室并吸附到制品的表面上。然后在将反应物(即,单一化学品R)引入ALD腔室并随后排出之前,将过量的前驱物从ALD室中排出。然而,陶瓷涂层中的含钇氧化物层(或其他稀土金属氧化物层)可以通过共沉积材料来形成。为了实现此,将两种前驱物(诸如含钇氧化物前驱物(A)(例如,Y2O3)和另一种稀土金属氧化物(B)前驱物)的混合物以任意数量的比率(例如,A90+B10、A70+B30、A50+B50、A30+B70、A10+A90等)共注入(AxBy)腔室并吸附在制品的表面上。在这些示例中,对于Ax+By,x和y以摩尔比率(mol%)表示。例如,A90+B10是90mol%的A和10mol%的B。过量前驱物被排出。将反应物引入到ALD腔室中,并在过量的化学物质被排出之前与被吸附的前驱物反应以形成固体层。对于ALD,材料的最终厚度取决于所运行的反应循环次数,因为每个反应循环将生长一定厚度的层,所述层可以是一个原子层或原子层的一部分。
与通常用于在具有高纵横比特征的部件上沉积涂层的其他技术(诸如等离子喷涂和离子辅助沉积)不同,ALD技术可以在这些特征内(即,在所述特征的表面上)沉积材料层。此外,ALD技术产生无孔隙(即,无针孔)的相对较薄(即,1μm或更薄)的涂层,该涂层可以消除沉积期间的裂纹形成。如本文所用的术语“无孔隙”意指沿着通过透射电子显微镜(TEM)所测量的涂层的整个深度没有任何孔隙、针孔、空隙或裂纹。TEM可以使用通过聚焦离子束铣削制备的100nm厚的TEM片执行,其中TEM在200kV以明场、暗场或高分辨率模式操作。相比之下,使用传统的电子束IAD或等离子体喷涂技术,即使厚度为5或10μm也会在沉积时形成裂纹,并且孔隙率可能为1-3%。
处理腔室部件,诸如腔室壁、喷头、喷嘴,等离子体生成单元(例如,具有壳体的射频电极)、扩散器和气体管线,将受益于具有这些抗等离子体涂层以在恶劣的蚀刻环境中保护部件。这些腔室部件中的许多部件的纵横比在约10:1至约300:1的范围内,这使得使用常规沉积方法很难很好地进行涂覆。在此描述的实施方式使高纵横比制品(诸如上述处理腔室部件)能够涂覆有保护制品的抗等离子体涂层。例如,实施方式使气体管线的内部、喷嘴的内部、喷头中的孔的内部等能够涂覆有含稀土金属的氧化物陶瓷涂层。
图1是根据实施方式的具有一个或多个腔室部件的半导体处理腔室100的剖视图,所述腔室部件涂覆有具有应力消除层和含稀土金属的氧化物层的抗等离子体涂层。处理腔室100可以用于其中提供具有等离子体处理条件的腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器、等离子体增强CVD或ALD反应器等等的腔室。可包含抗等离子体涂层的腔室部件的示例包括具有复杂形状和高纵横比孔的腔室部件。一些示例性腔室部件包括基板支撑组件148、静电卡盘(ESC)150、环(例如,工艺套件环或单个环)、腔室壁、底座、气体分配板、处理腔室的喷头、气体管线、喷嘴、盖、衬垫、衬垫套件、遮罩、等离子体屏幕、流量均衡器、冷却底座、腔室观察口、腔室盖等等。下文将更详细描述的抗等离子涂层通过ALD施涂。ALD允许在所有类型的部件(包括具有复杂形状和高纵横比特征的部件)上施涂无孔隙的厚度基本上均匀的共形涂层。
可以使用ALD利用用于应力消除层的前驱物和用于沉积含稀土金属的氧化物或结合一种或多种附加氧化物共沉积含稀土金属的氧化物以形成含稀土金属的氧化物层的一种或多种前驱物来生长或沉积抗等离子体涂层。在一个实施方式中,含稀土金属的氧化物层具有多晶结构。含稀土金属的氧化物可以包含钇、钽、锆和/或铒。例如,含稀土金属的氧化物可以是氧化钇(Y2O3)、氧化铒(Er2O3)、氧化锆(ZrO2)、氧化钽(Ta2O5)等。在实施方式中,含稀土金属的氧化物是多晶氧化钇。在其他实施方式中,含稀土金属的氧化物是非晶氧化钇。含稀土金属的氧化物还可以包含与一种或多种稀土元素(诸如钇、锆和/或铒)混合的铝。可以与含稀土金属的氧化物共沉积以形成含稀土金属的氧化物层的一种或多种附加氧化物可以包括氧化锆(ZrO2)、氧化铝(Al2O3)、氧化铒(Er2O3),或它们的组合。用于多层抗等离子体涂层的含钇氧化物层可以是例如YxZryOz、YaZrxAlyOz、YxAlyOz或YxEryOz。含钇氧化物可以是其中钇具有空间群为Ia-3(206)的立方结构的氧化钇(Y2O3)。
在一个实施方式中,含稀土金属的氧化物层是Y2O3、Er2O3、Y3Al5O12(YAG)、Er3Al5O12(EAG)或Y4Al2O9(YAM)中的一者。含稀土金属的氧化物层也可以是YAlO3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Y2O3-ZrO2的固溶体和/或包含Y4Al2O9与Y2O3-ZrO2的固溶体的陶瓷化合物。
关于Y2O3-ZrO2的固溶体,含稀土金属的氧化物层可以包含浓度为10-90摩尔比率(mol%)的Y2O3和浓度为10-90mol%的ZrO2。在一些示例中,Y2O3-ZrO2的固溶体可以包含10-20mol%的Y2O3和80-90mol%的ZrO2,可以包含20-30mol%的Y2O3和70-80mol%的ZrO2,可以包含30-40mol%的Y2O3和60-70mol%的ZrO2,可以包含40-50mol%的Y2O3和50-60mol%的ZrO2,可以包含60-70mol%的Y2O3和30-40mol%的ZrO2,可以包含70-80mol%的Y2O3和20-30mol%的ZrO2,可以包含80-90mol%的Y2O3和10-20mol%的ZrO2,等等。
关于包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物,在一个实施方式中,所述陶瓷化合物包含62.93摩尔比率(mol%)的Y2O3、23.23mol%的ZrO2和13.94mol%的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在50-75mol%范围内的Y2O3、在10-30mol%范围内的ZrO2和在10-30mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在40-100mol%范围内的Y2O3、在0.1-60mol%范围内的ZrO2和在0.1-10mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在40-60mol%范围内的Y2O3、在30-50 mol%范围内的ZrO2和在10-20 mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在40-50 mol%范围内的Y2O3、在20-40mol%范围内的ZrO2和在20-40 mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在70-90mol%范围内的Y2O3、在0.1-20mol%范围内的ZrO2和在10-20mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在60-80mol%范围内的Y2O3、在0.1-10mol%范围内的ZrO2和在20-40mol%范围内的Al2O3。在另一个实施方式中,所述陶瓷化合物可以包含在40-60mol%范围内的Y2O3、在0.1-20mol%范围内的ZrO2和在30-40mol%范围内的Al2O3。在其他实施方式中,其他分布也可以用于所述陶瓷化合物。
在一个实施方式中,将包含Y2O3、ZrO2、Er2O3、Gd2O3和SiO2的组合的替代陶瓷化合物用于含稀土金属的氧化物层。在一个实施方式中,所述替代陶瓷化合物可以包含在40-45mol%范围内的Y2O3、在0-10mol%范围内的ZrO2、在35-40mol%范围内的Er2O3、在5-10mol%范围内的Gd2O3和在5-15mol%范围内的SiO2。在第一示例中,替代陶瓷化合物包含40mol%的Y2O3、5mol%的ZrO2、35mol%的Er2O3、5mol%的Gd2O3和15mol%的SiO2。在第二示例中,替代陶瓷化合物包含45mol%的Y2O3、5mol%的ZrO2、35mol%的Er2O3、10mol%的Gd2O3和5mol%的SiO2。在第三示例中,替代陶瓷化合物包含40mol%的Y2O3、5mol%的ZrO2、40mol%的Er2O3、7mol%的Gd2O3和8mol%的SiO2
上述含稀土金属的氧化物层中的任一层可以包含微量的其他材料,诸如ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
应力消除层可以包含非晶氧化铝或类似材料,并且改善抗等离子体涂层对腔室部件的附着以及抗等离子体涂层在各个实施方式中在高达约350℃、或200℃或约200℃至约350℃的温度下的抗开裂和分层的耐热性。
如图所示,根据一个实施方式,基板支撑组件148具有抗等离子体涂层136。然而,应当理解的是,任何其他腔室部件,诸如腔室壁、喷头、气体管线、静电卡盘、喷嘴等,也可以涂覆有陶瓷涂层。
在一个实施方式中,处理腔室100包括腔室主体102和包围内部容积106的喷头130。喷头130可以包括喷头底座和喷头气体分配板。或者,在一些实施方式中喷头130可以由盖和喷嘴代替,或者在其他实施方式中由多个饼形喷头隔室和等离子体生成单元来代替。腔室主体102可以由铝、不锈钢或其他合适的材料制成。腔室主体102通常包括侧壁108和底部110。喷头130(或盖和/或喷嘴)、侧壁108和/或底部110中的任何一个可以包含抗等离子体涂层。
外衬垫116可以设置在侧壁108附近以保护腔室主体102。外衬垫116可以用双层涂层制造和/或涂覆。在一个实施方式中,外衬垫116由氧化铝制成。
排气口126可以被限定在腔室主体102中,并且可以将内部容积106耦接至泵系统128。泵系统128可以包括用于抽空和调节处理腔室100的内部容积106的压力的一个或多个泵和节流阀。
喷头130可以被支撑在腔室主体102的侧壁108上。喷头130(或盖)可被打开以允许进入处理腔室100的内部容积106,并且可在关闭时为处理腔室100提供密封。气体面板158可耦接至处理腔室100以通过喷头130或盖和喷嘴向内部容积106提供处理和/或清洁气体。喷头130可以用于用于介电蚀刻(电介质材料的蚀刻)的处理腔室。喷头130包括气体分配板(GDP)133,所述GDP 133在整个GDP 133中具有多个气体递送孔132。喷头130可以包括结合到铝底座或阳极化铝底座上的GDP 133。GDP 133可以由Si或SiC制成,或者可以是陶瓷,诸如Y2O3、Al2O3、Y3Al5O12(YAG)等。喷头130和递送孔132可以涂覆有抗等离子体涂层,如下面关于图4A和图4B更详细描述的。
对于用于导体蚀刻(导电材料的蚀刻)的处理腔室,可以使用盖而不是喷头。盖可以包括安装到盖的中心孔中的中心喷嘴。盖可以是陶瓷,诸如Al2O3、Y2O3、YAG,或者包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物。喷嘴也可以是陶瓷,诸如Y2O3、YAG,或者包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物。根据一个实施方式,盖、喷头底座104、GDP 133和/或喷嘴可全部涂覆有抗等离子涂层。
可用于在处理腔室100中处理基板的处理气体的示例包括含卤素的气体,诸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等,以及其他气体如O2或N2O。载气的示例包括N2、He、Ar,以及对处理气体为惰性的其他气体(例如,非反应性气体)。基板支撑组件148设置在处理腔室100的内部容积106内,位于喷头130或盖下方。基板支撑组件148在处理期间保持基板144。环146(例如,单个环)可以覆盖静电卡盘150的一部分,并且可以在处理期间保护被覆盖部分免于暴露于等离子体。在一个实施方式中,环146可以是硅或石英。
内衬垫118可以被包被在基板支撑组件148的周边上。内衬垫118可以是抗含卤素的气体的材料,诸如参考外衬垫116讨论的那些抗含卤素的气体的材料。在一个实施方式中,内衬垫118可以由与外衬垫116相同的材料制成。另外,内衬垫118还可以涂覆有如本文所述的抗等离子体涂层。
在一个实施方式中,基板支撑组件148包括支撑基座152的安装板162,以及静电卡盘150。静电卡盘150还包括导热底座164和通过结合部138结合到导热底座的静电盘166,所述结合部在一个实施方式中可以是硅树脂结合部。在所示的实施方式中,静电盘166的上表面可以被钇基氧化物抗等离子体涂层136覆盖。抗等离子体涂层136可以设置在静电卡盘150的整个暴露表面上,包括导热底座164和静电盘166的外和侧周边以及在静电卡盘中的具有大纵横比的任何其他几何形状复杂的部分或孔。安装板162耦接至腔室主体102的底部110,并且包括用于将设施(例如,流体、电力线、传感器导线等)按路线布置到导热底座164和静电盘166的通道。
导热底座164和/或静电盘166可以包括一个或多个任选的嵌入式加热元件176、嵌入式隔热器174和/或导管168、170,以控制基板支撑组件148的侧向温度分布。导管168、170可流体耦接至流体源172,所述流体源使温度调节流体循环通过导管168、170。在一个实施方式中,嵌入式隔离器174可以设置在导管168、170之间。加热器176由加热器电源178调节。导管168、170和加热器176可以用于控制导热底座164的温度。导管和加热器加热和/或冷却静电盘166和正在被处理的基板(例如晶片)144。静电盘166和导热底座164的温度可以使用多个温度传感器190、192进行监测,这些温度传感器可以使用控制器195进行监测。
静电盘166还可以包括多个气体通道,诸如凹槽、台面以及可以形成在盘166的上表面中的其他表面特征。根据一个实施方式,这些表面特征可全部涂覆有钇基氧化物抗等离子体的涂层。气体通道可通过在静电盘166中钻出的孔流体耦接至热传递(或背侧)气体(诸如He)的来源。在操作中,背侧气体可以在受控压力下提供到气体通道中,以增强静电盘166与基板144之间的热传递。
静电盘166包括由卡紧电源182控制的至少一个夹持电极180。夹持电极180(或设置在静电盘166或底座164中的其他电极)还可以通过匹配电路188耦接至一个或多个RF功率源184、186,以用于在处理腔室100内维持由工艺气体和/或其他气体形成的等离子体。RF功率源184、186通常能够产生具有从约50kHz到约3GHz的频率和高达约10,000瓦特的功率的RF信号。
图2A示出了根据ALD技术以在制品上生长或沉积抗等离子体涂层的沉积工艺的一个实施方式。图2B示出了根据如本文所述的原子层沉积技术的沉积工艺的另一个实施方式。图2C示出了根据如本文所述的原子层沉积技术的沉积工艺的另一个实施方式。
存在各种类型的ALD工艺,并且具体类型可以基于若干因素来选择,诸如待涂覆的表面、涂层材料、表面与涂层材料之间的化学相互作用等。各种ALD工艺的一般原理包括通过将待涂覆的表面反复暴露于以自限性方式每次一个地与表面发生化学反应的气态化学前驱物的脉冲来生长薄膜层。
图2A至图2C示出了具有表面的制品210。制品210可以代表各种工艺腔室部件(例如,半导体工艺腔室部件),包括但不限于基板支撑组件、静电卡盘(ESC)、环(例如,工艺套件环或单个环)、腔室壁、底座、气体分配板、气体管线、喷头、等离子体电极、等离子体壳体、喷嘴、盖、衬垫、衬垫套件、遮罩、等离子体屏幕、流量均衡器、冷却底座、腔室观察口、腔室盖、扩散器等等。制品210可以由金属(诸如铝、不锈钢)、陶瓷、金属-陶瓷复合物、聚合物、聚合陶瓷复合物、聚酯薄膜、聚酯或其他合适的材料制成,并且还可以包含诸如AlN、Si、SiC、Al2O3、SiO2等的材料。
对于ALD,将前驱物吸附到表面上或反应物与被吸附的前驱物的反应可以被称为“半反应”。在第一半反应期间,将前驱物脉冲到制品210的表面上(或到形成在制品210上的层上)达足以允许前驱物完全吸附到表面上的时间段。吸附是自限性的,因为前驱物将吸附到表面上有限数量的可用位点上,从而在表面上形成均匀的连续吸附层。已经被前驱物吸附的任何位点将变得不能用相同的前驱物进一步吸附,除非和/或直到被吸附的位点经受将在均匀连续涂层上形成新的可用位点的处理。示例性的处理可以是等离子体处理,通过将均匀的连续吸附层暴露于自由基或引入能够与吸附到表面的最近的均匀连续层反应的不同前驱物执行的处理。
在一些实施方式中,两种或更多种前驱物被一起注射并吸附到制品的表面上。泵出过量的前驱物,直到含氧反应物被注入以与被吸附物反应形成固体单相或多相层(例如,YAG、Y2O3-ZrO2相等)。此新鲜层准备好在下一个循环中吸附前驱物。
在图2A中,可以将制品210引入到第一前驱物260达第一持续时间,直到制品210的表面完全吸附有第一前驱物260以形成吸附层214。随后,可以将制品210引入到第一反应物265中以与吸附层214反应以生长固体应力消除层216(例如,使得应力消除层216完全生长或沉积,其中术语生长和沉积在本文中可以可互换地使用)。例如,第一前驱物260可以是铝或另一种金属的前驱物。如果应力消除层216是氧化物,则第一反应物265可以是氧气、水蒸气、臭氧、纯氧、氧自由基或另一种氧来源。因此,可以使用ALD来形成应力消除层216。
在应力消除层216是氧化铝(Al2O3)应力消除层的示例中,可以将制品210(例如,Al6061基板)引入到第一前驱物260(例如,三甲基铝(TMA))中达第一持续时间,直到表面上的所有反应位点被消耗。将剩余的第一前驱物260冲走,然后将为H2O的第一反应物265注入到反应器中以开始第二半循环。在H2O分子与由第一半反应产生的含Al吸附层反应后形成Al2O3应力消除层216。
应力消除层216可以是均匀的、连续的和共形的。在实施方式中,应力消除层216可以是无孔隙的(例如,具有为0的孔隙率)或具有大约0的孔隙率(例如,孔隙率为0%至0.01%)。在一些实施方式中,在单个ALD沉积循环之后,层216可以具有小于一个原子层到几个原子的厚度。一些金属有机前驱物分子很大。在与反应物265反应之后,大的有机配体可能消失,留下小得多的金属原子。一个完整的ALD循环(例如,包括引入前驱物260,随后引入反应物265)可能导致少于单个原子层。例如,通过TMA和H2O生长的Al2O3单层通常具有约0.9-1.3A/循环的生长速率,而Al2O3晶格常数为a-4.7A和c=13A(对于三角结构而言)。
可以实施多个全ALD沉积循环来沉积较厚的应力消除层216,其中每个完整的循环(例如,包括引入前驱物260,冲洗,引入反应物265,以及再次冲洗)使厚度增加附加分数的一个原子至几个原子。如图所示,可执行多达n个完整循环来生长应力消除层216,其中n是大于1的整数值。在实施方式中,应力消除层216的厚度可以为约10nm至约1.5μm。应力消除层216在实施方式中可以具有约10nm至约15nm的厚度,或者在其他实施方式中可以具有约0.8-1.2μm的厚度。
应力消除层216提供稳健的机械性能。应力消除层216可以增强介电强度,可以提供抗等离子体涂层对部件(例如,由Al6061、Al6063或陶瓷形成的部件)的更好的附着,并且可以防止抗等离子体涂层在高达约200℃、或高达约250℃、或约200℃至约250℃的温度下开裂。在其他实施方式中,应力消除层216可以防止抗等离子体涂层在高达约350℃的温度下开裂。这种金属制品具有的热膨胀系数可以显著高于抗等离子体涂层的含稀土金属的氧化物层的热膨胀系数。通过首先施涂应力消除层216,可以管理制品与含稀土金属的氧化物层之间的热膨胀系数失配的不利影响。由于ALD被用于沉积,因此可以涂覆高纵横比特征(诸如喷头中的气体递送孔或气体递送管线)的内表面,并且因此可以保护整个部件免于暴露于腐蚀性环境。
在实施方式中,层216可以是Al2O3,诸如非晶Al2O3。非晶Al2O3具有比例如含钇氧化物更高的承温能力。因此,在含钇氧化物层或其他含稀土金属的氧化物层下方加入非晶Al2O3层作为应力消除层可以通过缓解在氧化钇/Al6061界面的某些区域处集中的升高应力而从整体上增加抗等离子体涂层的耐热性。此外,由于共有元素(即,铝),Al2O3对基于铝的部件具有良好的附着。类似地,同样由于共有元素(即,氧化物),Al2O3也对含稀土金属的氧化物具有良好的附着。这些改进的界面减少了易于引发裂纹的界面缺陷。
另外,非晶Al2O3层可以用作防止金属污染物(例如,Mg、Cu等微量金属)从部件或制品迁移到含稀土金属的氧化物层中的阻挡层。例如,进行其中在Al2O3应力消除层216上沉积铜源层的测试。二次离子质谱分析法(SIMS)深度分布显示,在300℃退火4小时后,没有铜扩散到Al2O3应力消除层216中或穿过Al2O3应力消除层216。
随后,可以将具有层216的制品210引入附加的一种或多种前驱物270达第二持续时间,直到应力消除层216的表面完全吸附有所述一种或多种附加的前驱物270以形成吸附层218。随后,可以将制品210引入到反应物275中以与吸附层218反应以生长固体的含稀土金属的氧化物层220,为了简单起见也被称为第二层220(例如,使得第二层220完全生长或沉积)。因此,使用ALD在应力消除层216上完全生长或沉积第二层220。在一个示例中,前驱物270可以是在第一半循环中使用的含钇前驱物,而反应物275可以是在第二半循环中使用的H2O。
第二层220形成含钇氧化物层或其他含稀土金属的氧化物层,这些层可以是均匀的、连续的和共形的。第二层220可以在实施方式中具有小于1%的极低孔隙率,并且在其他实施方式中具有小于0.1%的极低孔隙率,并且在实施方式中具有为约0%的孔隙率,或者在其他实施方式中无孔隙。在单个完整的ALD沉积循环之后,第二层220可具有小于一个原子到几个原子(例如,2-3个原子)的厚度。可以实施多个ALD沉积阶段以沉积更厚的第二层220,其中每个阶段使厚度增加附加分数的原子到几个原子。如所示出的,完整的沉积循环可以重复m次,以使得第二层220具有期望的厚度,其中m是大于1的整数值。在实施方式中,第二层220的厚度可以为约10nm至约1.5μm。第二层220可以在实施方式中具有约10nm至约20nm的厚度,或者在一些实施方式中具有约50nm至约60nm的厚度。在其他实施方式中,第二层220的厚度可以为约90nm至约110nm。
含稀土金属的氧化物层的厚度与应力消除层的厚度的比率可以是200:1至1:200。含稀土金属的氧化物层的厚度与应力消除层的厚度的较高比率(例如,200:1、100:1、50:1、20:1、10:1、5:1、2:1等)提供了更好的抗腐蚀性和抗侵蚀性,而含稀土金属的氧化物层的厚度与应力消除层的厚度的较低比率(例如1:2、1:5、1:10、1:20、1:50、1:100、1:200)提供更好的耐热性(例如,对由热循环引起的开裂和/或分层的提高的抵抗力)。厚度比率可以根据具体的腔室应用来选择。在一个示例中,对于具有高溅射速率的电容耦合等离子体环境,可以在50nm的应力消除Al2O3层上沉积1μm的顶层。对于没有能量离子轰击的高温化学或自由基环境,100nm的顶层以及500nm的底层可以是最佳的。厚的底层还可以防止微量金属从下面的基板或制品(抗等离子体涂层在其上)扩散出来。
第二层220可以是上述含稀土金属的氧化物层中的任一者。例如,第二层220可以是单独的或与一种或多种其他稀土金属氧化物组合的Y2O3。在一些实施方式中,第二层220是由已经通过ALD共沉积的至少两种含稀土金属的氧化物前驱物的混合物(例如,Y2O3、Er2O3、Al2O3和ZrO2中的一种或多种的组合)形成的单相材料。例如,第二层220可以是YxZryOz、YxEryOz、Y3Al5O12(YAG)、Y4Al2O9(YAM)、Y2O3稳定化的ZrO2(YSZ),或包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物中的一种。在一个实施方式中,应力消除层216是非晶Al2O3并且第二层220是单独的或者与一种或多种其他含稀土金属的氧化物材料在单相中的多晶或非晶含钇氧化物化合物(例如,Y2O3、YxAlyOz、YxZryOz、YxEryOz)。因此,应力消除层216可以是在沉积含钇氧化物层之前沉积的应力消除层。
在一些实施方式中,第二层220可以包含Er2O3、Y2O3、Al2O3或ZrO2。在一些实施方式中,第二层220是ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz或EraYxZryOz中的至少一种的多组分材料(例如,Y2O3、ZrO2和Er2O3的单相固溶体)。第二层220也可以是Y3Al5O12(YAG)、Y4Al2O9(YAM)、Y2O3稳定化的ZrO2(YSZ),或包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物中的一种。在一个实施方式中,第二层220是含铒化合物(例如,Er2O3、ErxAlyOz、ErxZryOz、EraZrxAlyOz、YxEryOz,或者EraYxZryOz)。
参考图2B至图2C,在一些实施方式中,抗等离子体涂层包含多于两个的层。具体地,抗等离子体涂层可以包含应力消除层和含稀土金属氧化物层的一系列交替层,或者可以包含应力消除层和一系列含稀土金属的氧化物层的交替层。在一些实施方式中,含稀土金属的氧化物层是多个交替子层组成的层。例如,含稀土金属的氧化物层可以是Y2O3和Al2O3的一系列交替子层,Y2O3和ZrO2的一系列交替子层,Y2O3、Al2O3和ZrO2的一系列交替子层,等等。
参考图2B,可以将具有应力消除层216的制品210插入到沉积腔室中。应力消除层216可以已经如参考图2A所述地形成。可以将具有应力消除层216的制品210引入到一种或多种前驱物280达一段持续时间,直到应力消除层216的表面完全吸附有所述一种或多种附加的前驱物280以形成吸附层222。随后,可以将制品210引入到反应物282中以与吸附层222反应以生长固体金属氧化物层224。因此,使用ALD在应力消除层216上完全生长或沉积金属氧化物层224。在一个示例中,前驱物280可以是在第一半循环中使用的含钇前驱物,而反应物282可以是在第二半循环中使用的H2O。金属氧化物层224可以是Y2O3、ZrO2、Al2O3、Er2O3、Ta2O5中的第一种,或另一种氧化物。
可以将具有应力消除层216和金属氧化物层224的制品210引入一种或多种前驱物284达一段持续时间,直到金属氧化物层224的表面完全吸附有所述一种或多种前驱物284以形成吸附层226。随后,可将制品210引入反应物286以与吸附层226反应以生长附加的固体金属氧化物层228。因此,使用ALD在金属氧化物层224上完全生长或沉积附加的金属氧化物层228。在一个示例中,前驱物284可以是在第一半循环中使用的含锆前驱物,而反应物286可以是在第二半循环中使用的H2O。金属氧化物层224可以是Y2O3、ZrO2、Al2O3、Er2O3、Ta2O5中的第二种,或另一种氧化物。
如图所示,金属氧化物224和第二金属氧化物228的沉积可以重复n次以形成交替层的叠层237,其中n是大于2的整数值。N可以表示基于目标厚度和性质选择的有限数量的层。交替层的叠层237可以被认为是含有多个交替的子层的含稀土金属的氧化物层。因此,可以重复顺序地引入前驱物280、反应物284、前驱物284和反应物286以生长或沉积附加的交替层230、232、234、236等。层224、224、230、232、234、236等中的每一个可以是厚度为小于单个原子层到几个原子层的极薄层。例如,通过TMA和H2O生长的Al2O3单层通常具有约0.9-1.3A/循环的生长速率,而Al2O3晶格常数为a-4.7A和c=13A(对于三角结构)。
上面描述的交替层224-236具有1:1的比率,其中对于每个第二金属氧化物单层存在第一金属氧化物单层。然而,在其他实施方式中,不同类型的金属氧化物层之间可以有其他比率,诸如2:1、3:1、4:1等等。例如,在一个实施方式中,可以针对每个ZrO2层沉积两个Y2O3层。另外,交替层224-236的叠层237已被描述为交替的一系列两种类型的金属氧化物层。然而,在其他实施方式中,可以以交替叠层237沉积多于两种类型的金属氧化物层。例如,叠层237可以包括三个不同的交替层(例如,第一层Y2O3、第一层Al2O3、第一层ZrO2、第二层Y2O3、第二层Al2O3、第二层ZrO2等等)。
在交替层的叠层237已经形成之后,可以执行退火工艺以使不同材料的交替层扩散到彼此中并形成具有单相或多相的复合氧化物。在退火工艺之后,交替层237的叠层可以因此变成单个含稀土金属的氧化物层238。例如,如果叠层中的各层是Y2O3、Al2O3和ZrO2,则所得含稀土金属的氧化物层238可以是包含Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物。如果叠层中的各层是Y2O3和ZrO2,则可以形成Y2O3-ZrO2的固溶体。
参考图2C,可以将具有应力消除层216的制品210插入到沉积腔室中。应力消除层216可以已经如参考图2A所述地形成。可以将具有应力消除层216的制品210引入到一种或多种前驱物290达一段持续时间,直到应力消除层216的表面完全吸附有所述一种或多种前驱物290以形成吸附层240。随后,可以将制品210引入到反应物292中以与吸附层240反应以生长固体稀土氧化物层242。在实施方式中,前驱物290和反应物292可对应于前驱物270和反应物275。因此,使用ALD在应力消除层216上完全生长或沉积稀土氧化物层242。引入前驱物290然后反应物292的过程可重复n次,以使稀土氧化物层242具有期望的厚度,其中n是大于1的整数。
可以将具有应力消除层216和稀土氧化物层242的制品210引入一种或多种前驱物294达一段持续时间,直到稀土氧化物层242的表面完全吸附有一种或多种前驱物294以形成吸附层244。随后,可以将制品210引入到反应物296中以与吸附层244反应以生长阻挡层246。在实施方式中,前驱物294和反应物296可对应于前驱物260和反应物265。因此,阻挡层244可以具有与应力消除层216相同的材料组分。使用ALD在稀土氧化物层242上完全生长或沉积阻挡层246。引入前驱物294然后反应物296的过程可以执行一次或两次,以形成可以防止稀土氧化物层中的晶体生长的薄阻挡层246。
如图所示,稀土氧化物242和阻挡层228的沉积可以重复m次以形成交替层的叠层248,其中m是大于1的整数值。N可以表示基于目标厚度和性质选择的有限数量的层。交替层的叠层248可以被认为是含有多个交替的子层的含稀土金属的氧化物层。
图2C中所示的最终结构是涂覆有抗等离子体涂层的制品210的横截面侧视图,所述抗等离子体涂层包含非晶应力消除层216以及含稀土金属的氧化物242与第二氧化物或其他陶瓷228的交替层的叠层248。非晶应力消除层216的厚度可以为约10nm至约1.5μm。在实施方式中,应力消除层的厚度可以为约10-100nm。在其他实施方式中,应力消除层216的厚度可以为约20-50nm。在又其他实施方式中,应力消除层216的厚度可以为约20-30nm。
在一些实施方式中,第二氧化物或其他陶瓷可以是与用于形成应力消除层的氧化物相同的氧化物(例如,Al2O3)。或者,第二氧化物或陶瓷可以是与用于形成应力消除层的氧化物不同的氧化物。
每个含稀土金属的氧化物层的厚度可以为约5-10埃,并且可以通过执行约5-10个循环的ALD工艺来形成,其中每个循环形成含稀土金属的氧化物纳米层(或者稍微小于或大于纳米层)。在一个实施方式中,每个含稀土金属的氧化物层使用约6-8个ALD循环来形成。第二氧化物或其他陶瓷的每一层可以由单个ALD循环(或几个ALD循环)形成并且厚度可以小于一个原子到几个原子。各个含稀土金属的氧化物层的厚度可以各自为约5-100埃,并且各个第二氧化物层的厚度在实施方式中可以各自为约1-20埃而在其他实施方式中可以为1-4埃。含稀土金属的氧化物242和第二氧化物或其他陶瓷228的交替层的叠层248的总厚度可以为约10nm至约1.5μm。在其他实施方式中,叠层248的厚度可以为约100nm至约1.5μm。在其他实施方式中,叠层248的厚度可以为约100nm至约300nm,或约100-150nm。多个含稀土金属的氧化物层242之间的第二氧化物或其他陶瓷246的薄层可防止在含稀土金属的氧化物层中的晶体形成。这可以使非晶氧化钇层能够生长。
图9至图10示出了根据图2C所描述的技术制造的测量数据。
在参考图2A至图2C描述的实施方式中,表面反应(例如半反应)顺序地进行,并且在实施方式中各种前驱物和反应物不接触。在引入新的前驱物或反应物之前,可以用惰性载气(诸如氮气或空气)吹扫发生ALD工艺的腔室,以去除任何未反应的前驱物和/或表面前驱物反应副产物。用于每一层的前驱物将是不同的,并且用于含钇氧化物层或其他含稀土金属的氧化物层的第二前驱物可以是两种含稀土金属的氧化物前驱物的混合物以促进这些化合物的共沉积来形成单相材料层。在一些实施方式中,使用至少两种前驱物,在其他实施方式中使用至少三种前驱物,并且在又其他实施方式中使用至少四种前驱物。
取决于工艺类型,可以在各种温度下进行ALD工艺。特定ALD工艺的最佳温度范围被称为“ALD温度窗口”。低于ALD温度窗口的温度可能导致不良的生长速率和非ALD型沉积。高于ALD温度窗口的温度可能导致通过化学气相沉积(CVD)机制发生的反应。ALD温度窗口可以在约100℃至约400℃的范围内。在一些实施方式中,ALD温度窗口在约120-300℃之间。
ALD工艺允许在具有复杂几何形状、高纵横比的孔和三维结构的制品和表面上存在具有均匀厚度的共形抗等离子体涂层。每种前驱物对表面的足够的暴露时间使前驱物能够分散并与整个表面(包括其全部三维复杂特征)完全反应。用于在高纵横比结构中获得共形ALD的暴露时间与所述纵横比的平方成比例,并且可以使用建模技术来预测。此外,ALD技术比其他常用的涂覆技术更有利,因为ALD技术允许特定的组合物或配方的原位按需材料合成,而不需要源材料(诸如粉末原料和烧结的靶材)的冗长且困难的制造。在一些实施方式中,使用ALD来涂覆纵横比为约10:1至约300:1的制品。
利用本文所述的ALD技术,可以生长、沉积或共沉积多组分膜,诸如YxAlyOz(例如,Y3Al5O12)、YxZryOz和YaZrxAlyOz、YxEryOz、YxEryFz或YwErxOyFz,例如通过使用用于生长如上所述并在下文示例中更详细描述的单独的或与一种或多种其他氧化物组合的含稀土金属的氧化物的多种前驱物的适当混合物。
图3A示出了根据实施方式的用于在诸如处理腔室部件的制品上形成包含应力消除层和含稀土金属的氧化物层的抗等离子体涂层的方法300。方法300可用于涂覆任何制品,包括纵横比为约3:1至约300:1(例如,纵横比为20:1、50:1、100:1、150:1等)的制品。该方法可以任选地开始于选择抗等离子体涂层的应力消除层和含钇氧化物层的组分。组分选择和形成方法可以由相同的实体或由多个实体来执行。
所述方法可以任选地包括在框305处用酸溶液清洗制品。在一个实施方式中,将制品浸泡在酸性溶液浴中。在实施方式中,酸溶液可以是氢氟酸(HF)溶液、盐酸(HCl)溶液、硝酸(HNO3)溶液,或它们的组合。酸溶液可以从制品去除表面污染物和/或可以从制品表面去除氧化物。用酸溶液清洗制品可以提高使用ALD沉积的涂层的品质。在一个实施方式中,使用含有约0.1-5.0体积%的HF的酸溶液来清洗由石英制成的腔室部件。在一个实施方式中,使用含有约0.1-20体积%的HCl的酸溶液来清洗由Al2O3制成的制品。在一个实施方式中,使用含有约5-15体积%的HNO3的酸溶液来清洗由铝和其他金属制成的制品。
在框310处,将制品装载到ALD沉积腔室中。在框320处,所述方法包括使用ALD将抗等离子体涂层沉积到制品的表面上。在一个实施方式中,在框325处执行ALD以沉积应力消除层。在一个实施方式中,在框330处执行ALD以沉积或共沉积单独的或者与一种或多种其他氧化物一起的含稀土金属的氧化物层。ALD是如在实施方式中执行的非常共形的工艺,其可以导致抗等离子体涂层的表面粗糙度匹配被涂覆的制品的下方表面的表面粗糙度。在一些实施方式中,抗等离子涂层的总厚度可以为约20nm至约10μm。在其他实施方式中,抗等离子体涂层的厚度可以为约100nm至约2微米。抗等离子体涂层在实施方式中的孔隙率可以为约0%,或者在实施方式中可以是无孔隙的,并且厚度变化可以为约+/-5%或更小、+/-10%或更小,或者+/-20%或更小。
在一个实施方式中,在框335处执行ALD以沉积含有稀土金属的氧化物和附加氧化物的交替层的叠层。所述附加氧化物可以与用于应力消除层的氧化物相同或不同。
含钇氧化物层包含含钇氧化物并且可以包含一种或多种附加的稀土金属氧化物。包含钇的含稀土金属的氧化物材料可以在实施方式中用于形成抗等离子体涂层,因为含钇氧化物通常具有高稳定性、高硬度和优异的抗侵蚀性能。例如,Y2O3是最稳定的氧化物之一并且其标准生成吉布斯自由能(ΔGf°)为-1816.65kJ/mol,表明在标准条件下Y2O3与大多数工艺化学物质的反应在热力学上是不利的。包含根据本文的实施方式沉积的应力消除层和具有Y2O3的含稀土金属的氧化物层的抗等离子体涂层还可以具有关于许多等离子体和化学环境的低侵蚀速率,诸如在200瓦特的偏压和500℃下暴露于直接NF3等离子体化学物质时约0μm/小时的侵蚀速率。例如,在200瓦特和500℃对直接NF3等离子体的1小时测试没有造成可测量的侵蚀。根据本文实施方式沉积的抗等离子体涂层还可以于在实施方式中高达约250℃或在实施方式中高达约200℃或在其他实施方式中从约200℃至约250℃的温度下抗开裂和分层。相比之下,使用常规等离子喷涂或离子辅助沉积形成的涂层在处于或低于200℃的温度下在沉积时形成裂纹。
可以形成抗等离子体涂层的含钇氧化物化合物的示例包括Y2O3、YxAlyOz(例如,Y3Al5O12)、YxZryOz、YaZrxAlyOz或YxEryOz。抗等离子体涂层中的钇含量可以在约0.1原子%至接近100原子%的范围内。对于含钇氧化物,钇含量可以在约0.1原子%至接近100原子%的范围内,并且氧含量可以在约0.1原子%至接近100原子%的范围内。
可形成抗等离子涂层的含铒氧化物化合物的示例包括Er2O3、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz,以及EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体)。抗等离子体涂层中的铒含量可以在约0.1原子%至接近100原子%的范围内。对于含铒氧化物,铒含量可以在约0.1原子%至接近100原子%的范围内,并且氧含量可以在约0.1原子%至接近100原子%的范围内。
有利地,Y2O3和Er2O3是可混溶的。对于Y2O3和Er2O3的任何组合,可以形成单相固溶体。例如,刚好超过0mol%的Er2O3和刚好低于100mol%的Y2O3的混合物可以被组合并共沉积以形成作为单相固溶体的抗等离子体涂层。另外,刚好超过0mol%的Er2O3和刚好低于100mol%的Y2O3的混合物可以被组合以形成作为单相固溶体的抗等离子体涂层。YxEryOz组成的抗等离子体涂层可以含有在超过0mol%至低于100mol%之间的Y2O3和在超过0mol%至低于100mol%之间的Er2O3。一些值得注意的示例包括90-99mol%的Y2O3和1-10mol%的Er2O3,80-89mol%的Y2O3和11-20mol%的Er2O3,70-79mol%的Y2O3和21-30mol%的Er2O3,60-69mol%的Y2O3和31-40mol%的Er2O3,50-59mol%的Y2O3和41-50mol%的Er2O3,40-49mol%的Y2O3和51-60mol%的Er2O3,30-39mol%的Y2O3和61-70mol%的Er2O3,20-29mol%的Y2O3和71-80mol%的Er2O3,10-19mol%的Y2O3和81-90mol%的Er2O3,以及1-10mol%的Y2O3和90-99mol%的Er2O3。YxEryOz的单相固溶体可以在低于约2330℃的温度下具有单斜立方状态。
有利地,ZrO2可以与Y2O3和Er2O3组合以形成包含ZrO2、Y2O3和Er2O3的混合物的单相固溶体(例如,EraYxZryOz)。YaErxZryOz的固溶体可以具有立方形、六方形、四方形和/或立方萤石结构。YaErxZryOz的固溶体可以包含超过0mol%至60mol%的ZrO2,超过0mol%至99mol%的Er2O3,以及超过0mol%至99mol%的Y2O3。可以使用的ZrO2的一些显著量包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的Er2O3和/或Y2O3的一些显著量包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%和90mol%。
YaZrxAlyOz的抗等离子体涂层可以包含超过0%至60mol%的ZrO2,超过0mol%至99mol%的Y2O3,以及超过0mol%至60mol%的Al2O3。可以使用的ZrO2的一些显著量包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的Y2O3的一些显著量包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%和90mol%。可以使用的Al2O3的一些显著量包括2mol%、5mol%、10mol%、20mol%、30mol%、40mol%、50mol%和60mol%。在一个示例中,YaZrxAlyOz的抗等离子体涂层包含42mol%的Y2O3、40mol%的ZrO2和18mol%的Y2O3,并具有层状结构。在另一个示例中,YaZrxAlyOz的抗等离子体涂层包含63mol%的Y2O3、10mol%的ZrO2和27mol%的Er2O3,并具有层状结构。
在实施方式中,包含应力消除层和Y2O3、YxAlyOz(例如,Y3Al5O12)、YxZryOz、YaZrxAlyOz或YxEryOz的含稀土金属的氧化物层的抗等离子体涂层具有低放气速率,约1000V/μm的电介质击穿电压,小于约1E-8托/秒的气密性(泄漏率),约600至约950或约685的维氏硬度,通过划痕测试测得的约75mN至约100mN或约85mN的附着力,以及在室温下通过X射线衍射测得的为约-1000至-2000MPa(例如,约-1140MPa)的膜应力。
图3B示出了根据一个实施方式的用于在铝制品(例如,Al6061或Al6063)上形成含钇氧化物抗等离子体涂层的方法350,所述铝制品诸如是处理腔室部件。所述方法可以任选地开始于选择抗等离子体涂层的组分。组分选择和形成方法可以由相同的实体或由多个实体来执行。
在方法350的框352处,使用酸溶液清洗制品(例如,处理腔室部件)的表面。酸溶液可以是上面参考方法300的框305所述的酸溶液中的任一种。然后可以将制品装载到ALD沉积腔室中。
根据框355,所述方法包括通过ALD将非晶Al2O3的第一层沉积到制品的表面上。非晶Al2O3的厚度可以为约10nm至约1.5μm。根据框360,所述方法还包括通过经由ALD将含钇氧化物前驱物和另一种氧化物前驱物的混合物共沉积(即,在一个步骤中)到非晶Al2O3应力消除层上来形成第二层。例如,第二层可以包含与Al2O3或Er2O3或ZrO2在单相中的Y2O3。或者,第二层可以包括多个相,诸如一个Y4Al2O9的相和另一个包含Y2O3-ZrO2的固溶体的相。
在一些实施方式中,应力消除层可以由选自用于ALD的乙氧基二乙基铝、三(乙基甲基氨基)铝、仲丁醇铝,三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝或三(二乙基氨基)铝的氧化铝前驱物形成。
在一些实施方式中,含稀土金属的氧化物层是氧化钇或包括氧化钇,并且用于形成含稀土金属的氧化物层的氧化钇前驱物可以选自或包括三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)或丁醇钇(III)以用于ALD。
在一些实施方式中,含稀土金属的氧化物层包含氧化锆。当含稀土金属的氧化物层包含氧化锆时,氧化锆前驱物可以包括溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基氨基)锆(IV)、四(二甲基氨基)锆(IV)或四(乙基甲基氨基))锆(IV)以用于ALD。这些氧化锆前驱物中的一种或多种可以与氧化钇前驱物共沉积。
在一些实施方式中,含稀土金属的氧化物层还可以包含氧化铒。氧化铒前驱物可选自三甲基环戊二烯基铒(III)(Er(MeCp)3)、硼化铒(erbium boranamide)(Er(BA)3)、Er(TMHD)3、铒(III)三(2,2,6,6-四甲基-3,5-庚二酮酸酯)或三(丁基环戊二烯基)铒(III)以用于ALD。
如上所述,含稀土金属的氧化物层可以包含多种不同氧化物的混合物。为了形成这种含稀土金属的氧化物层,可以将上述氧化钇前驱物、氧化铒前驱物、氧化铝前驱物和/或氧化锆前驱物的任何组合一起引入ALD沉积腔室中以共沉积各种氧化物并形成具有单相或多相的层。ALD沉积或共沉积可以在臭氧、水、O自由基或可以充当氧供体的其他前驱物的存在下被执行。
在框370处,可以确定是否要添加附加层(例如,是否要形成多层叠层)。如果要添加附加层,则该方法可以返回到框355并且可以形成附加的Al2O3层。否则,该方法可以进行到框375。
在框375处,加热制品(例如,腔室部件)和腔室部件上的两个抗等离子体涂层。加热可以在半导体处理期间通过退火工艺、热循环工艺和/或通过制造步骤进行。在一个实施方式中,在试样块上执行热循环过程以作为制造后的检查来检测裂纹以用于质量控制,其中试样块被循环到零件在处理期间可能经历的最高温度。热循环温度取决于所述零件将用于的一个或多个特定应用。对于热饼,例如(如图4C所示),试样块可以在室温与250℃之间循环。温度可以基于制品、表面和膜层的构造材料来选择,以保持它们的完整性并避免使这些部件中的任何或全部部件发生变形、分解或熔化。
图4A至图4C示出了根据不同实施方式的抗等离子涂层的变化。图4A示出了根据一个实施方式的制品410的表面405的多层抗等离子体涂层。表面405可以是各种制品410的表面。例如,制品410可以包括各种半导体工艺腔室部件,包括但不限于基板支撑组件、静电卡盘(ESC)、环(例如,工艺套件环或单个环)、腔室壁、底座、气体分配板、气体管线、喷头、喷嘴、盖、衬垫、衬垫套件、遮罩、等离子体屏幕、流量均衡器、冷却底座、腔室观察口、腔室盖等等。半导体工艺腔室部件可以由金属(诸如铝、不锈钢)、陶瓷、金属-陶瓷复合物、聚合物、聚合物陶瓷复合物或其他合适的材料制成,并且还可以包含诸如AlN、Si、SiC、Al2O3、SiO2等材料。
在图4A中,双层涂层组合物包含使用ALD工艺涂覆到制品410的表面405上的非晶氧化铝应力消除层和使用ALD工艺涂覆到制品410的应力消除层上的含稀土金属的氧化物层。
图4A示出了喷头400的仰视图。下面提供的喷头示例仅仅是示例性的腔室部件,所述喷头的性能可以通过使用如在此的实施方式中所述的抗等离子体涂层来改进。应该理解的是,当用本文公开的抗等离子体涂层涂覆时,其他腔室部件的性能也可以得到改善。如在此所描绘的,喷头400被选择为具有复杂几何形状表面和高纵横比孔的半导体工艺腔室部件的例示。
根据本文的实施方式,下表面405的复杂几何形状可以接纳抗等离子体涂层。喷头400的下表面405限定布置成均匀分布的同心环的气体导管410。在其他实施方式中,气体导管410可以被配置成替代的几何构造,并且可以根据所利用的反应器和/或工艺的类型而具有如需要那么多或那么少的气体管道。使用ALD技术在表面405上和气体导管孔410中生长或沉积抗等离子体涂层,所述ALD技术使得能够在表面上以及在气体导管孔中进行具有相对均匀厚度和零孔隙率(即,无孔隙)的共形涂覆,而不管复杂的几何形状和孔的大纵横比。
喷头400可以暴露于诸如氟的腐蚀性化学物质并且可能由于与喷头的等离子体相互作用而被侵蚀。抗等离子涂层可以减少这种等离子体相互作用并改善喷头的耐用性。共形涂覆对于暴露于等离子体的表面是重要的,因为经涂覆/未涂覆的边界倾向在电容耦合等离子体环境中电弧放电。使用ALD沉积的抗等离子体涂层保持下表面405和气体导管410的相对形状和几何构造,以便不干扰喷头的功能。类似地,当应用于其他腔室部件时,抗等离子体涂层可以保持要涂覆的表面的形状和几何构造,从而不干扰部件的功能、提供抗等离子体性,并且提高整个表面的抗侵蚀性和/或抗腐蚀性。
涂层材料对等离子体的抵抗力通过在经涂覆部件操作和暴露于等离子体的持续时间内的“蚀刻速率”(ER)来测量,ER的单位可以为微米/小时(μm/hr)。测量可以在不同的处理时间之后进行。例如,可以在处理之前、在50个处理小时之后、在150个处理小时之后、在200个处理小时之后等等时进行测量。生长或沉积在喷头上或任何其他工艺腔室部件上的抗等离子体涂层的组分变化可以导致多个不同的抗等离子体性或侵蚀速率的值。另外,暴露于各种等离子体的具有单一组分的抗等离子体涂层可以具有多个不同的抗等离子体性或侵蚀速率的值。例如,抗等离子体材料可以具有与第一类型的等离子体相关的第一抗等离子体性或侵蚀速率和与第二类型的等离子体相关的第二抗等离子体性或侵蚀速率。在实施方式中,在500℃下暴露于200W NF3直接等离子体1小时后没有出现可检测到的蚀刻。
图4B示出了根据一个实施方式涂布的具有高纵横比的气体导管410的放大视图。气体导管410可具有长度L和直径D。气体导管410可以具有定义为L:D的高长径比,其中所述长径比可在约10:1至约300:1的范围内。在一些实施方式中,所述长径比可以是约50:1至约100:1。
气体导管410可具有内表面455,所述内表面可涂覆有抗等离子体涂层。抗等离子体涂层可以包含应力消除层460和含稀土金属的氧化物层465。应力消除层460可以包含非晶Al2O3。含稀土金属的氧化物层465可以包含单独的或与附加的稀土金属氧化物(例如,氧化铒、氧化锆等)一起的多晶氧化钇。含稀土金属的氧化物层465可以具有任何含稀土金属的氧化物材料,诸如上文所述的那些。每个层可以使用ALD工艺进行涂覆。ALD工艺可以在气体导管410的整个内表面上生长具有均匀厚度的无孔隙共形涂层,而不管所述内表面的高长径比,同时确保最终的多组分涂层还可以足够薄以便不堵塞喷头中的气体导管。
在一些实施方式中,每个层可以包括均匀厚度的单层或薄层。每个单层或薄层的厚度可以为约0.1纳米至约100纳米。在其他实施方式中,这些层可以包括具有均匀厚度的厚层。每个厚层的厚度可以为约100纳米至约1.5微米。在又其他实施方式中,所述层可以包括单层、薄层和/或厚层的组合。
图4C示出了根据实施方式的热饼腔室部件470。热饼腔室部件470包括如本文实施方式中所述的抗等离子体涂层。热饼是在空间ALD腔室中使用的八个相互隔离的喷头中的一个。八个喷头中的一些是等离子饼并且一些是热饼。晶片在处理期间位于这些喷头下方,并移动经过每个喷头,并暴露于这些喷头顺序提供的不同化学物质和等离子体。在一个实施方式中,热饼具有10:1纵横比的孔475,并且暴露于恶劣的化学条件。
以下实施例阐述来帮助理解在此描述的实施方式,并且不应该被解释为具体地限制在此描述和要求保护的实施方式。这些变化,包括在本领域技术人员能力范围内的现在已知或以后开发的所有等同物的替代,以及配方变化或试验设计中的微小变化,应被认为落入在此并入的实施方式的范围中。这些示例可以通过执行上述方法300或方法350来实现。
实施例1–在Al 6061基板上形成Al2O3应力消除层并用含Y2O3的涂层涂覆所述应力消除层
将抗等离子体涂层沉积在Al 6061铝基板上(例如,在约室温至约300℃的温度下)。使用原子层沉积在铝基板上沉积非晶氧化铝应力消除层。将用于应力消除层的前驱物在一毫托或几毫托至一托或几托的范围内的压力和约100-250℃的温度下引入到基板。随后,使用原子层沉积在应力消除层上沉积多晶含钇氧化物层。将用于含钇氧化物层的前驱物在一毫托或几毫托到一托或几托的压力和约100-250℃的温度下引入到基板。
使用特别是透射电子显微镜对铝基板上的所得抗等离子体涂层进行表征。应力消除层的厚度为约5nm至约15nm,并且含钇氧化物层的厚度为约90nm至约110nm。
使用选择性区域衍射和收敛射束电子衍射来确定每一层中的材料的结构。应力消除层中的氧化铝具有非晶结构,而含钇氧化物层具有多晶结构。使用扫描电子显微镜(SEM)表征涂覆前和涂覆后的铝基板。SEM图像显示,抗等离子体涂层覆盖了铝基板上的所有特征。
还测量了经涂覆的基板的击穿电压。对于1μm的氧化钇,击穿电压为约305至约560。在实施方式中,抗等离子体陶瓷涂层的击穿电压低于用于形成抗等离子体陶瓷涂层的陶瓷的本征击穿电压。使经涂覆的基板也暴露于在500℃、200W下的NF3直接等离子体。由于与NF3等离子体发生反应,所以没有观察到可观察到的蚀刻或表面劣化。
还在200℃对经涂覆的基板进行五(5)次热循环。SEM图像显示在涂层中没有裂纹,而使用传统的等离子喷涂或离子辅助沉积涂层时会观察到裂纹。还评估了经涂覆的基板的硬度。基板的维氏硬度为约500至约830或约626.58±98.91,或约5,500MPa至约9,000MPa或约6,766±1,068。经涂覆的基板的杨氏模量为约75GPa至约105GPa或约91.59±8.23GPa。经涂覆的基板在约0.110μm至约0.135μm或约0.125±0.007μm处显示出最大硬度。
通过划痕测试来测量涂层对铝基板的附着力。第一次分层Lc发生在约75至约100mN或约85.17±9.59处。在室温下通过X射线衍射测量经涂覆的基板的膜应力。膜应力为约-1140MPa或约-165.4(KSi)。
图5示出以作为时间(分钟)的函数的总质量损失(μg/cm2)计的125℃下放气比较测试的结果500。比较以下材料:经三(3)小时烘烤的块体氧化钇材料505、经三(3)小时烘烤的多晶硅和氧化钇材料510、经三(3)小时烘烤的Dura HPM材料515、经三(3)小时烘烤的Bare SST材料520、使用ALD沉积在涂覆的1500nm铝上的氧化铝525和在不锈钢(SST)材料上的 HT 530。如图5所示,沉积在铝上的氧化铝525具有相对低的放气。
实施例2–在350℃热循环之后,在Al 6061基板上的具有在Al2O3层上的含有Y2O3/Al2O3交替子层的含稀土氧化物层的抗等离子体涂层
图6示出了通过透射电子能谱(TEM)产生的经涂覆的基板605的图像。基板605由铝(Al6061)构成。使用ALD在基板605上沉积非晶氧化铝应力消除层610。将包含交替的Y2O3和Al2O3子层的含稀土氧化物的层615沉积在应力消除层610上。基板605包括凹坑630。如图所示,层610、615提供对凹坑630的共形覆盖。例如,用应力消除层610密封凹坑630中的通道632。然后,用含稀土金属的氧化物层615密封凹坑630的剩余部分。然后具有应力消除层610和含稀土金属的氧化物层615的基板605经受350℃下的热循环,而没有任何开裂或分层。示出了覆盖层620,所述覆盖层放置在样品上以获得TEM图像。然而,覆盖层620不用于产品部分。
图7A示出了如本文所述的抗等离子体涂层的俯视SEM图像。图7B示出了图7A的抗等离子体涂层的TEM横截面图。图像包括从以俯视图像描绘的区域708切出的试样块拍摄的俯视图像705和横截面侧视图像710。如横截面侧视图图像710所示,制品715包含抗等离子体涂层,所述抗等离子体涂层包含应力消除层720和稀土氧化物层725。稀土氧化物层的厚度为约600nm并且应力消除层的厚度为约200nm。在室温与200℃的温度之间执行热循环之后拍摄TEM图像。如图所示,作为热循环的结果在抗等离子体涂层中没有出现开裂,并且抗等离子体涂层没有从制品分层。类似的测试已经显示,在250℃和300℃的热循环后没有开裂或分层的对应结果。
图8A示出了在制品上没有Al2O3应力消除层的Y2O3的ALD涂层804的俯视SEM图像。图8B示出了制品802上图8A的ALD涂层804的横截面图像。如图所示,在热循环之后,在Y2O3涂层804中形成了裂纹805。
图9示出了关于图2C所描述的抗等离子体陶瓷样品的横截面侧视TEM图像。使用在明场(BF)TEM模式下以200kV操作的FEI Tecnai TF-20 FEG/TEM使样品成像。如图所示,样品包括具有抗等离子体涂层的制品910,所述抗等离子体涂层包含厚度为约20nm的应力消除层915和包含交替子层的叠层的含稀土金属的氧化物层920,所述叠层的厚度为约134nm。在交替层的叠层920中可以看到颗粒的结晶对比。然而,在所示的TEM图像中,交替层的叠层920大部分是非晶的,具有短程有序性。
图10是图9所示的抗等离子体陶瓷样品的扫描透射电子显微镜能量色散x射线光谱(STEM-EDS)线扫描。如图所示,制品910是铝6061基板。应力消除层915包括约60-80原子%的氧1010和约20-40原子%的铝1025。含稀土金属的氧化物层920主要由氧1010和钇1015以及约5原子%的铝构成。
前面的描述阐述了许多具体细节,诸如具体系统、部件、方法等的示例,以便提供对本发明的若干实施方式的良好理解。然而,对于本领域技术人员来说将显而易见的是,可以在没有这些具体细节的情况下实践本发明的至少一些实施方式。在其他情况下,没有详细描述公知的部件或方法,或是以简单的框图格式来呈现,以避免不必要地模糊本发明。因此,所阐述的具体细节仅仅是示例性的。具体的实施方式可以不同于这些示例性细节,并且仍然被认为是在本发明的范围内。
贯穿本说明书对“一个实施方式”或“一实施方式”的提及意味着结合所述实施方式描述的具体特征、结构或特性被包括在至少一个实施方式中。因此,贯穿本说明书在各个地方出现的短语“在一个实施方式中”或“在一实施方式中”并不一定都指的是相同的实施方式。另外,术语“或”旨在表示包含性的“或”而不是排他性的“或”。当在此使用术语“约”或“近似”时,这旨在表示所提出的标称值精确在±10%内。
虽然在本文中方法的操作以具体顺序示出和描述,但是可以改变每个方法的操作的顺序,使得某些操作可以以相反的顺序执行,或者使得可以将某些操作至少部分与其他操作同时进行。在另一实施方式中,不同操作的指令或子操作可以是以间歇的和/或交替的方式。
应当理解,上述描述旨在是说明性的,而不是限制性的。在阅读和理解上述描述之后,许多其他实施方式对于本领域的技术人员将是显而易见的。因此,本发明的范围应参照所附权利要求以及所提出的这些权利要求的等同物的全部范围来确定。

Claims (20)

1.一种制品,包括:
具有约3:1至约300:1的纵横比的部分;以及
在所述制品的所述部分的表面上的抗等离子体涂层,其中所述抗等离子体涂层包括:
具有约10nm至约1.5μm的厚度的应力消除层;以及
具有约10nm至约1.5μm的厚度的含稀土金属的氧化物层,其中所述含稀土金属的氧化物层覆盖所述应力消除层;
其中所述抗等离子体涂层均匀地覆盖所述部分,在高达350℃的温度下抗开裂和分层,并且无孔隙。
2.如权利要求1所述的制品,其中所述制品是腔室部件,所述腔室部件选自由以下项组成的群组:腔室壁、等离子体生成单元、喷头、扩散器、喷嘴、气体分配毂组件和气体管线。
3.如权利要求1所述的制品,其中所述纵横比为约10:1至约200:1。
4.如权利要求1所述的制品,其中所述应力消除层包含非晶Al2O3,且其中所述应力消除层充当阻挡层以防止来自所述制品的杂质的扩散。
5.如权利要求1所述的制品,其中所述含稀土金属的氧化物层包含选自由以下项组成的群组的材料:Y2O3、Y3Al5O12(YAG)、Er2O3、Er3Al5O12(EAG)、ZrO2、Gd2O3、Y2O3-ZrO2固溶体、或包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
6.如权利要求1所述的制品,其中所述含稀土金属的氧化物层包含约0.1mol%至约90mol%的Y2O3与约0.1mol%至约90mol%的另一金属氧化物的混合物。
7.如权利要求1所述的制品,其中所述含稀土金属的氧化物层具有多晶结构。
8.如权利要求1所述的制品,进一步包括:
所述含稀土金属的氧化物与第二氧化物的交替层的叠层,其中:
所述交替层的叠层中的第一层是所述含稀土金属的氧化物层;
所述含稀土金属的氧化物的诸层各自具有约5-100埃的厚度;以及
所述第二氧化物的诸层各自具有约1-20埃的厚度,其中所述第二氧化物的诸层防止所述含稀土金属的氧化物的诸层中的结晶形成。
9.如权利要求8所述的制品,其中:
所述第二氧化物的诸层具有与所述应力消除层相同的材料组分;
所述应力消除层具有约10nm-1.5μm的厚度;以及
所述交替层的叠层具有约10nm-1.5μm的总厚度。
10.一种方法,包括:
使用原子层沉积工艺将抗等离子体涂层沉积到腔室部件的表面上,包括:
使用原子层沉积在所述表面上将应力消除层沉积至约10nm至约1.5μm的厚度;以及
使用原子层沉积在所述应力消除层上将含稀土金属的氧化物层沉积至约10nm至约1.5μm的厚度;
其中所述抗等离子体涂层均匀地覆盖所述腔室部件的所述表面,在高达350℃的温度下抗开裂和分层,并且无孔隙。
11.如权利要求10所述的方法,其中沉积所述应力消除层包括:沉积非晶氧化铝。
12.如权利要求10所述的方法,其中沉积所述含稀土金属的氧化物层包括:通过以下步骤沉积含钇氧化物:
执行沉积循环,包括:
将含钇前驱物注入含有所述腔室部件的沉积腔室中以使所述含钇前驱物吸附到所述应力消除层的表面上从而形成第一半反应;以及
将含氧反应物注入所述沉积腔室中以形成第二半反应;以及
重复所述沉积循环一次或多次,直至获得目标厚度。
13.如权利要求10所述的方法,其中沉积所述含稀土金属的氧化物层包括:通过以下步骤交替沉积含钇氧化物和一种或多种附加金属氧化物以形成单相或多相的含钇氧化物层:
执行沉积循环,包括:
将含钇前驱物注入含有所述腔室部件的沉积腔室中以使所述含钇前驱物吸附到所述应力消除层的表面上从而形成第一半反应;以及
将含氧反应物注入所述沉积腔室中以形成第二半反应和第一层;
将含金属前驱物注入所述沉积腔室中以使所述含金属前驱物吸附到所述第一层的表面上从而形成第三半反应;以及
将所述含氧反应物或替代的含氧反应物注入所述沉积腔室中以形成第四半反应;以及
重复所述沉积循环一次或多次,直至达到目标厚度。
14.如权利要求10所述的方法,其中沉积所述含稀土金属的氧化物层包括:通过以下步骤共沉积含钇氧化物和附加金属氧化物以形成单相或多相的含钇氧化物层:
执行沉积循环,包括:
将所述含钇氧化物的第一前驱物和所述附加金属氧化物的第二前驱物的混合物共注入包含所述腔室部件的沉积腔室中以使所述第一前驱物和所述第二前驱物吸附到所述应力消除层的表面上从而形成第一半反应;以及
将含氧反应物注入所述沉积腔室中以形成第二半反应;以及
重复所述沉积循环一次或多次,直至达到目标厚度。
15.如权利要求14所述的方法,其中所述附加金属氧化物选自由以下项组成的群组:Er2O3、Al2O3、和ZrO2
16.如权利要求14所述的方法,其中所述含钇氧化物层包含约0mol%至约90mol%的Y2O3与约0.1mol%至约90mol%的所述附加金属氧化物的混合物。
17.如权利要求14所述的方法,其中所述含钇氧化物层选自由以下项组成的群组:Y3Al5O12(YAG)、Y2O3-ZrO2固溶体、以及包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
18.如权利要求10所述的方法,其中所述腔室部件的其上沉积所述抗等离子体涂层的所述表面具有约10:1至约300:1的纵横比,且其中所述抗等离子体涂层均匀地覆盖所述表面。
19.如权利要求10所述的方法,其中所述腔室部件是用于半导体处理腔室的腔室部件,选自由以下项组成的群组:腔室壁、喷头、等离子体生成单元、扩散器、喷嘴和气体管线。
20.一种方法,包括:
使用原子层沉积(ALD)工艺将抗等离子体涂层沉积到腔室部件的表面上,包括:
使用所述ALD工艺的多个循环在所述表面上将非晶应力消除层沉积至约10nm至约1.5μm的厚度;以及
将含稀土金属的氧化物和第二氧化物的交替层的叠层沉积至约10nm至约1.5μm的厚度,其中所述含稀土金属的氧化物的诸层中的每一层通过执行所述ALD工艺的约1-30个循环来形成,且其中所述第二氧化物的诸层中的每一层通过执行所述ALD工艺的1-2个循环来形成,其中所述第二氧化物的诸层防止所述含稀土金属的氧化物的诸层中的结晶形成。
CN201810645076.8A 2017-01-20 2018-01-22 一种制品及方法 Active CN108642475B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/411,892 2017-01-20
US15/411,892 US10186400B2 (en) 2017-01-20 2017-01-20 Multi-layer plasma resistant coating by atomic layer deposition
US15/849,253 US10573497B2 (en) 2017-01-20 2017-12-20 Multi-layer plasma resistant coating by atomic layer deposition
US15/849,253 2017-12-20
CN201810060787.9A CN108330467B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810060787.9A Division CN108330467B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层

Publications (2)

Publication Number Publication Date
CN108642475A true CN108642475A (zh) 2018-10-12
CN108642475B CN108642475B (zh) 2021-08-31

Family

ID=62905649

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201810060787.9A Active CN108330467B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层
CN202110939273.2A Active CN113652669B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层
CN201810645076.8A Active CN108642475B (zh) 2017-01-20 2018-01-22 一种制品及方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201810060787.9A Active CN108330467B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层
CN202110939273.2A Active CN113652669B (zh) 2017-01-20 2018-01-22 通过原子层沉积获得的多层抗等离子体涂层

Country Status (6)

Country Link
US (4) US10186400B2 (zh)
JP (3) JP6987646B2 (zh)
KR (2) KR102594085B1 (zh)
CN (3) CN108330467B (zh)
SG (1) SG10201800531WA (zh)
TW (5) TW202340501A (zh)

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2022505703A (ja) * 2018-10-25 2022-01-14 グリーン, ツイード テクノロジーズ, インコーポレイテッド 耐プラズマ多層コーティングおよびそれを調製する方法
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113260732A (zh) * 2018-12-05 2021-08-13 京瓷株式会社 等离子体处理装置用构件和具备它的等离子体处理装置
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
WO2020123082A1 (en) * 2018-12-13 2020-06-18 Lam Research Corporation Multilayer coatings of component parts for a work piece processing chamber
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200086582A (ko) 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020132947A (ja) * 2019-02-20 2020-08-31 日本特殊陶業株式会社 膜付き部材及びその製造方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
US20220130705A1 (en) * 2019-02-22 2022-04-28 Lam Research Corporation Electrostatic chuck with powder coating
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP2020141123A (ja) * 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN113490765A (zh) * 2019-03-08 2021-10-08 应用材料公司 用于处理腔室的多孔喷头
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113728124B (zh) * 2019-04-26 2023-12-05 京瓷株式会社 等离子体处理装置用构件及等离子体处理装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2021002339A1 (ja) * 2019-07-03 2021-01-07 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112553592B (zh) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN110578143B (zh) * 2019-09-30 2021-10-22 中国科学院金属研究所 利用大气等离子喷涂制备Al-ZrO2/Y2O3复合涂层材料的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
KR20210045216A (ko) * 2019-10-16 2021-04-26 (주)포인트엔지니어링 공정챔버용 내부 금속 파트 및 공정챔버용 내부 금속 파트의 박막층 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR102259919B1 (ko) * 2020-03-06 2021-06-07 주식회사 그린리소스 챔버 코팅재 및 그 제조 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
JP7140222B2 (ja) * 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202147492A (zh) * 2020-06-03 2021-12-16 荷蘭商Asm Ip私人控股有限公司 噴淋板、基板處理裝置、基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114695044A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 一种基座组件以及等离子体处理设备
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024097505A1 (en) * 2022-10-31 2024-05-10 Lam Research Corporation Component with a dual layer hermetic atomic layer deposition coatings for a semiconductor processing chamber

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104364887A (zh) * 2012-05-22 2015-02-18 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
CN104715993A (zh) * 2013-12-13 2015-06-17 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
CN105408987A (zh) * 2014-04-25 2016-03-16 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
US20160181627A1 (en) * 2014-12-22 2016-06-23 Jeffrey F. Roeder Sofc interconnect barriers and methods of making same
US20160326625A1 (en) * 2013-12-06 2016-11-10 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20160326061A1 (en) * 2013-11-12 2016-11-10 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN106252188A (zh) * 2015-06-04 2016-12-21 朗姆研究公司 具有抗等离子体蚀刻的涂层的等离子体蚀刻装置
CN106270863A (zh) * 2015-06-29 2017-01-04 朗姆研究公司 原子层沉积涂层用于保护钎焊线不被腐蚀、侵蚀和击穿
US20170133207A1 (en) * 2013-07-20 2017-05-11 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE522508A (zh) 1952-10-16
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) * 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
AU2003224977A1 (en) 2002-04-19 2003-11-03 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP4483364B2 (ja) 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR20060098936A (ko) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널
JP5382677B2 (ja) 2005-06-17 2014-01-08 国立大学法人東北大学 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
CN101010448B (zh) 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) * 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN101418435A (zh) 2007-10-26 2009-04-29 林新智 在工件的轮廓上形成保护层的方法
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (ja) 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
KR20110037282A (ko) 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
JP2012059834A (ja) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (ja) 2011-01-31 2015-08-12 ダイハツ工業株式会社 オイルシールの圧入方法
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (zh) 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
WO2015120265A1 (en) * 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US9842054B2 (en) * 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
US20170044930A1 (en) * 2015-08-14 2017-02-16 General Electric Company Hot dust resistant environmental barrier coatings
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) * 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
CN207193910U (zh) 2017-06-09 2018-04-06 郑州工业应用技术学院 一种桥梁支撑用减震装置
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104364887A (zh) * 2012-05-22 2015-02-18 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
US20170133207A1 (en) * 2013-07-20 2017-05-11 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US20160326061A1 (en) * 2013-11-12 2016-11-10 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160326625A1 (en) * 2013-12-06 2016-11-10 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993A (zh) * 2013-12-13 2015-06-17 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
CN105408987A (zh) * 2014-04-25 2016-03-16 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
US20160181627A1 (en) * 2014-12-22 2016-06-23 Jeffrey F. Roeder Sofc interconnect barriers and methods of making same
CN106252188A (zh) * 2015-06-04 2016-12-21 朗姆研究公司 具有抗等离子体蚀刻的涂层的等离子体蚀刻装置
CN106270863A (zh) * 2015-06-29 2017-01-04 朗姆研究公司 原子层沉积涂层用于保护钎焊线不被腐蚀、侵蚀和击穿
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积

Also Published As

Publication number Publication date
TWI755549B (zh) 2022-02-21
US10573497B2 (en) 2020-02-25
CN113652669A (zh) 2021-11-16
TW202219299A (zh) 2022-05-16
CN113652669B (zh) 2024-06-07
US12002657B2 (en) 2024-06-04
US11251023B2 (en) 2022-02-15
TWI755471B (zh) 2022-02-21
KR102296911B1 (ko) 2021-08-31
JP7481317B2 (ja) 2024-05-10
TW201840892A (zh) 2018-11-16
TW202340501A (zh) 2023-10-16
KR20180086157A (ko) 2018-07-30
US20220157568A1 (en) 2022-05-19
US20180240648A1 (en) 2018-08-23
KR20180086161A (ko) 2018-07-30
JP7026014B2 (ja) 2022-02-25
KR102594085B1 (ko) 2023-10-24
CN108330467A (zh) 2018-07-27
JP2022046471A (ja) 2022-03-23
TW201840896A (zh) 2018-11-16
US10186400B2 (en) 2019-01-22
JP2018150617A (ja) 2018-09-27
JP2018188735A (ja) 2018-11-29
TWI808608B (zh) 2023-07-11
SG10201800531WA (en) 2018-08-30
JP6987646B2 (ja) 2022-01-05
US20180209042A1 (en) 2018-07-26
TW202314017A (zh) 2023-04-01
CN108642475B (zh) 2021-08-31
US20200185200A1 (en) 2020-06-11
CN108330467B (zh) 2021-08-31

Similar Documents

Publication Publication Date Title
CN108642475A (zh) 一种制品及方法
TWI748046B (zh) 原子層沉積之多孔體的抗電漿塗佈
JP3224064U (ja) 原子層堆積法で堆積させた耐浸食性金属酸化物コーティング
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant