TW201545227A - 用於薄晶圓處理之多重接合層 - Google Patents

用於薄晶圓處理之多重接合層 Download PDF

Info

Publication number
TW201545227A
TW201545227A TW104127546A TW104127546A TW201545227A TW 201545227 A TW201545227 A TW 201545227A TW 104127546 A TW104127546 A TW 104127546A TW 104127546 A TW104127546 A TW 104127546A TW 201545227 A TW201545227 A TW 201545227A
Authority
TW
Taiwan
Prior art keywords
layer
wafer
bonding
substrate
seconds
Prior art date
Application number
TW104127546A
Other languages
English (en)
Inventor
Rama Puligadda
Xing-Fu Zhong
Tony D Flaim
Jeremy Mccutcheon
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of TW201545227A publication Critical patent/TW201545227A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/10Removing layers, or parts of layers, mechanically or chemically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/11Methods of delaminating, per se; i.e., separating at bonding face
    • Y10T156/1126Using direct fluid current against work during delaminating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31511Of epoxy ether
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31725Of polyamide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31938Polymer of monoethylenically unsaturated hydrocarbon

Abstract

提供暫時聯接半導體基板之多重接合層方案。在本發明之接合方案中,至少一個層與該半導體基板直接接觸,且方案內之至少兩個層彼此直接接觸。由於在該多層結構內之不同層執行特定功能,本發明提供若干加工選擇。更重要的是,其將藉由提供較高熱穩定性、較高的與粗糙背面加工步驟之相容性、藉由囊封保護晶圓正面上之凸塊、脫裂步驟中之較低應力及正面上之較少缺陷以改良薄晶圓處理溶液之效能。

Description

用於薄晶圓處理之多重接合層 聯邦補助研究/發展計畫
本發明藉空軍研究實驗室根據通用動力資訊科技公司(General Dynamics Information Technology)授予之主要合同號FA8650-05-D-5806配給的轉包契約在政府支持下進行。美國政府對本發明擁有某些權利。
本發明之背景 相關申請案
本申請案主張2010年8月6日申請之名稱為MULTIPLE BONDING LAYERS FOR THIN-WAFER HANDLING之臨時申請案第61/371,517號之優先權益,該申請案以引用的方式併入本文中。
本發明大體關於利用多層接合系統之新穎暫時晶圓接合方法。在晶圓薄化及其他背面加工期間,本發明之方法可將裝置晶圓支撐於載體基板上。
在直徑1至12吋範圍內之晶圓基板上共同以陣列形式製造積體電路、功率半導體、發光二極體、光子電路、微機電系統(MEMS)、嵌入式被動陣列、封裝插入板(packaging interposer)及許多其他基於矽及基於化合物半導體之微裝置。接著將該等裝置分成個別裝置或晶粒,該等裝置或晶粒經封裝以例如藉由與印刷線路板互連而與宏觀 環境有效連接。在晶粒上或周圍建構裝置封裝已日益盛行,但其仍為晶圓陣列之一部分。稱為晶圓級封裝之此實踐使總體封裝成本降低且可在裝置與其微電子環境之間達成高於更傳統封裝之互連密度,傳統封裝之外部尺寸通常比實際裝置大若干倍。
直至最近,互連方案一般仍限於二維,意謂裝置與其所安裝之相應板封裝表面之間的電連接均處於水平面或x-y平面中。微電子工業目前已認識到裝置互連密度之顯著增加及信號延遲之相應降低(由於電連接點之間的距離縮短)可藉由垂直(亦即在Z軸方向上)堆疊及互連裝置來達成。用於裝置堆疊之兩個一般要求為:(1)裝置自背面在晶圓貫穿方向上之薄化;及(2)隨後形成終止於裝置背面之通常稱為矽穿孔或「TSV」之晶圓貫穿電連接。就此而言,目前半導體裝置薄化已成為標準實務,即使裝置並非以堆疊組態封裝,此係因為其利於熱耗散且在諸如蜂巢式電話之緊湊電子產品下允許達成小得多的外形尺寸。
使半導體裝置薄化至小於100微米以縮減其輪廓(尤其在堆疊其或其所存在之相應封裝時)及簡化裝置上背面電連接之形成日益受到關注。用於大容量積體電路製造中之矽晶圓直徑典型地為200mm或300mm且晶圓貫穿厚度為約750μm。若不薄化,則幾乎不可能形成藉由使連接穿過晶圓與正面電路連接的背面電接觸。目前商業上使用基於機械研磨(背面研磨)及拋光以及化學蝕刻用於半導體級矽及化合物半導體之高效率薄化製程。此等製程允許將裝置晶圓厚度在幾分鐘內降至小於100微米,同時保持對整個晶圓厚度均一性之精確控制。
已薄化至小於100微米之裝置晶圓,且尤其薄化至小於60微米之裝置晶圓極易碎且必須在其整個尺寸上進行支撐以防止破裂及斷裂。已開發各種晶圓棒及夾盤用於轉移超薄裝置晶圓,但在包括以下步驟 之背面研磨及TSV形成製程期間仍存在如何支撐晶圓之問題:諸如化學機械拋光(CMP)、微影、蝕刻、沈積、退火及清潔,此係因為此等步驟會在裝置晶圓薄化時或薄化後在裝置晶圓上施加高熱及機械應力。超薄晶圓處理之日益盛行方法涉及將全厚度裝置晶圓面朝下安裝於具有聚合黏著劑之剛性載體上。接著自背面薄化或加工。接著在背面加工已完成後,藉由熱、熱機械或化學製程自載體移除或脫裂完全加工之超薄晶圓。
常見載體材料包括矽(例如空白裝置晶圓)、鹼石灰玻璃、硼矽酸鹽玻璃、藍寶石及各種金屬及陶瓷。載體可為正方形或矩形,但更通常為圓形,且大小與裝置晶圓匹配,以便可以習知加工工具及卡匣處理接合之總成。在使用液體化學試劑溶解或分解聚合黏著劑作為釋放手段時,有時將載體穿孔以加速脫裂製程。
用於暫時晶圓接合之聚合黏著劑典型地藉由自溶液旋塗或噴塗或層壓為乾膜膠帶來塗覆。旋轉或噴霧噴灑塗覆之黏著劑更佳,此係因為其形成厚度均一性高於膠帶可提供之厚度均一性的塗層。較高厚度均一性轉而使得可更好地控制薄化後整個晶圓之厚度均一性。聚合黏著劑展現對裝置晶圓及載體之高接合強度。
可視所需要之厚度及塗層平面性(平坦度)而定將聚合黏著劑旋轉塗覆於裝置晶圓、載體或兩者上。烘烤經塗佈之晶圓以自聚合黏著劑層移除所有塗層溶劑。接著將經塗佈之晶圓及載體接觸安置於熱機械壓力機中以進行接合。施加足夠溫度及壓力以使黏著劑流動且填充至裝置晶圓結構特徵中,且達成與裝置晶圓及載體表面之所有區域的密切接觸。
背面加工後自載體脫裂裝置晶圓典型地以以下四種方式中之一種執行:
(1)化學方法-將接合之晶圓堆疊浸入溶劑或化學試劑中或噴灑 溶劑或化學試劑以溶解或分解聚合黏著劑。
(2)光分解方法-用光源透過透明載體照射接合之晶圓堆疊以使與載體相鄰之黏著劑邊界層光分解。接著可使載體與堆疊分離,且自裝置晶圓剝除其餘聚合黏著劑,而裝置晶圓仍保留於夾盤上。
(3)熱機械方法-加熱接合之晶圓堆疊超過聚合黏著劑之軟化溫度,且接著使裝置晶圓自載體滑脫或拉開,同時仍由全晶圓固持夾盤支撐。
(4)熱分解方法-加熱接合之晶圓堆疊超過聚合黏著劑之分解溫度,從而使聚合黏著劑揮發且失去對裝置晶圓及載體之黏著性。
此等脫裂方法各自具有嚴重限制其在生產環境中使用之缺點。舉例而言,因為溶劑必須經由黏性聚合物介質擴散較大距離以實現釋放,所以藉由溶解聚合黏著劑進行化學脫裂為一緩慢過程。亦即,溶劑必須自接合基板之邊緣或自載體中之穿孔擴散至黏著劑之局部區域中。在任一情況下,溶劑擴散及滲透所需之最短距離為至少3至5mm且可能更遠,即使在穿孔以增加溶劑與黏著劑層接觸之情況下。甚至在高溫(>60℃)下進行脫裂通常亦需要若干小時的處理時間,意謂晶圓產量將較低。
因為整個接合之基板不能同時曝光,所以光分解同樣為一緩慢過程。作為替代,曝光光源(通常為光束橫截面僅為數毫米之雷射)在某一時間必須聚焦於小面積上以傳遞足夠能量來使黏著劑接合線分解。接著使光束以連續方式在基板上掃描(或光柵處理)以脫裂整個表面,此舉導致脫裂時間較長。
儘管熱機械(TM)脫裂典型地可在數分鐘內執行,但其具有可能降低裝置產率之其他限制。暫時接合之裝置晶圓之背面加工通常涉及高於200℃或甚至300℃之工作溫度。用於TM脫裂之聚合黏著劑必須在工作溫度或接近工作溫度下既不會分解亦不會軟化,否則脫裂將 會提前發生。因此,黏著劑通常設計成在高於工作溫度20℃至50℃下充分軟化以發生脫裂。脫裂所需之高溫由於熱膨脹而對接合對施加顯著應力。同時,藉由滑動、升起或扭轉運動將裝置晶圓移離載體所需之高機械力亦產生額外應力,該額外應力可能導致裝置晶圓破壞或在個別裝置之微觀電路內部產生損傷,導致裝置失效及產率降低。
熱分解(TD)脫裂亦容易使晶圓遭到破壞。在聚合黏著劑分解時會產生氣體,且在大部分黏著劑移除前此等氣體可能截留於裝置晶圓與載體之間。所截留氣體之積聚可能使薄裝置晶圓發泡及破裂或甚至斷裂。TD脫裂之另一問題為聚合物分解通常伴隨形成不能藉由普通清潔程序自裝置晶圓移除之難處理的碳化殘餘物。
此等先前技術方法之限制產生對提供高晶圓產量且降低或消除裝置晶圓破壞及內部裝置損傷之可能性的新穎載體輔助薄晶圓處理模式之需要。
本發明藉由提供暫時接合方法克服先前技術之問題,該方法包含提供包含以下之堆疊:第一基板,其具有後表面及裝置表面;第一接合層,其與裝置表面相鄰且具有軟化溫度;第二接合層,其與第一接合層相鄰且具有軟化溫度,其中第一接合層之軟化溫度比第二接合層之軟化溫度高至少約20℃;及第二基板,其具有載體表面,該第二接合層與該載體表面相鄰。接著使第一及第二基板分離。
本發明亦提供包含具有後表面及裝置表面之第一基板的物品。該物品進一步包含與裝置表面相鄰且具有軟化溫度之第一接合層。存在與第一接合層相鄰且具有軟化溫度之第二接合層,其中第一接合層之軟化溫度比第二接合層之軟化溫度高至少約20℃。該物品亦包括具 有載體表面之第二基板,其中第二接合層與載體表面相鄰。
在本發明之另一具體實例中,提供一種暫時接合方法。在該方法中,提供堆疊,且該堆疊包含:第一基板,其具有後表面及裝置表面;第一剛性層,其與裝置表面相鄰;接合層,其與第一剛性層相鄰;及第二基板,其具有載體表面,該接合層與該載體表面相鄰。該堆疊進一步包含以下一或兩者:位於裝置表面與第一剛性層之間的升離層;或位於接合層與載體表面之間的第二剛性層。接著使第一及第二基板分離。
本發明亦提供包含具有後表面及裝置表面之第一基板的物品。該物品進一步包含與裝置表面相鄰之第一剛性層、與第一剛性層相鄰之接合層、及具有載體表面之第二基板。接合層與載體表面相鄰,且該物品進一步包含以下一或兩者:位於裝置表面與第一剛性層之間的升離層;或位於接合層與載體表面之間的第二剛性層。
在本發明之又一具體實例中,提供一種暫時接合方法,其中該方法包含提供包含以下之堆疊:第一基板,其具有後表面及裝置表面,該裝置表面具有周邊區域及中心區域;第二基板,其具有載體表面;邊緣接合物,其與周邊區域及載體表面相鄰;及至少一個選自由以下組成之群的層:位於邊緣接合物與裝置表面之間的升離層;位於邊緣接合物與載體表面之間的升離層; 位於邊緣接合物與裝置表面之間的助黏劑層;位於邊緣接合物與載體表面之間的助黏劑層;位於該邊緣接合物與該裝置表面之間的接合層;及位於該邊緣接合物與該載體表面之間的接合層。
接著使第一及第二基板分離。
在本發明之一最終具體實例中,提供一種物品。該物品包含具有後表面及裝置表面之第一基板,且該裝置表面具有周邊區域及中心區域。該物品進一步包含具有載體表面之第二基板、與周邊區域及載體表面相鄰之邊緣接合物、及至少一個選自由以下組成之群的層:位於邊緣接合物與裝置表面之間的升離層;位於邊緣接合物與載體表面之間的升離層;位於邊緣接合物與裝置表面之間的助黏劑層;位於邊緣接合物與載體表面之間的助黏劑層;位於該邊緣接合物與該裝置表面之間的接合層;及位於該邊緣接合物與該載體表面之間的接合層。
10‧‧‧前驅結構
12‧‧‧第一基板
14‧‧‧前表面或裝置表面
16‧‧‧後表面
18‧‧‧最外側邊緣
20‧‧‧第一接合層
21‧‧‧上表面
22‧‧‧第二前驅結構
24‧‧‧第二基板
26‧‧‧前表面或載體表面
28‧‧‧後表面
30‧‧‧最外側邊緣
32‧‧‧第二接合層
33‧‧‧上表面
34‧‧‧接合之堆疊
35‧‧‧下表面
36‧‧‧最高特徵
36a‧‧‧最高表面
38‧‧‧最低特徵
38a‧‧‧最低表面或最低點
40‧‧‧下平面
42‧‧‧上平面
44‧‧‧「清潔」或升離層
46‧‧‧上表面
48‧‧‧下表面
50‧‧‧接合之堆疊
52‧‧‧剛性層
54‧‧‧上表面
55‧‧‧結構
55’‧‧‧結構
56‧‧‧下表面
57‧‧‧周邊區域
58‧‧‧接合之堆疊
59‧‧‧中心區域
60‧‧‧雙層接合系統
62‧‧‧薄層
64‧‧‧上表面
66‧‧‧下表面
68‧‧‧接合區段
70‧‧‧外表面
72‧‧‧內表面
74‧‧‧下表面
76‧‧‧接合表面
78‧‧‧填充層
80‧‧‧上表面
82‧‧‧接合之堆疊
82’‧‧‧接合之堆疊
84‧‧‧結構
84’‧‧‧結構
86‧‧‧接合之堆疊
86’‧‧‧接合之堆疊
T1‧‧‧距離
T2‧‧‧厚度
T3‧‧‧距離
T4‧‧‧距離
D‧‧‧寬度
圖1為展示如實施例5至實施例9中所進一步例示之本發明之較佳具體實例的示意圖之剖面圖;圖2為說明如何確定厚度的示意圖之剖面圖;圖3為描述如實施例10至實施例16中所進一步例示之本發明之另一具體實例的示意圖之剖面圖;圖4為展示如實施例17中所進一步例示之本發明之替代具體實例的示意圖之剖面圖;圖5為說明圖4中所示之本發明具體實例之變化的示意圖之剖面圖;圖6為展示本發明之替代具體實例的示意圖之剖面圖; 圖7為描述圖6中所示之具體實例之變化的示意圖之剖面圖;圖8為展示本發明之替代具體實例的示意圖之剖面圖;及圖9為描繪圖6中所示之具體實例之變化的示意圖之剖面圖,其中此變化與實施例18中所例示之製程類似。
更詳細而言,本發明提供使用多層接合方案形成微電子結構之方法。儘管圖式說明且說明書描述本發明之某些較佳具體實例,但應瞭解該等揭示內容僅為舉例。本文參考示意性說明本發明之理想化具體實例的剖面說明描述本發明之具體實例。因而,預期由於例如製造技術及/或公差所導致與所說明形狀的不同。不欲將本發明之原理限於所揭示之特定具體實例。舉例而言,在圖式中,可出於清晰之原因而誇大層及區域之尺寸及相對尺寸。另外,本發明之具體實例不應解釋為限於本文所說明之區域的特定形狀,而是包括例如由製造所產生之形狀偏差。舉例而言,說明為矩形之區域可具有圓形或彎曲特徵。因此,圖式中所說明之區域本質上僅具示意性,且其形狀不欲說明裝置區域或地形的精確形狀,且不欲限制本發明之範疇。
1.雙層接合方案I
參考圖1(a),前驅結構10描繪於示意性剖面圖中。結構10包括第一基板12。基板12具有前表面或裝置表面14、後表面16及最外側邊緣18。儘管基板12可具有任何形狀,但其典型地為圓形。第一基板12較佳包括裝置晶圓,諸如裝置表面包含選自由以下組成之群的裝置之陣列(圖中未示)之裝置晶圓:積體電路、MEMS、微感測器、功率半導體、發光二極體、光子電路、插入板、嵌入式被動裝置及在矽及諸如矽-鍺、砷化鎵及氮化鎵之其他半導電材料上製造或由矽及諸如矽-鍺、砷化鎵及氮化鎵之其他半導電材料製造之其他微裝置。此等裝置之表面通常包含由一或多種以下材料形成之結構(圖中亦未示): 矽、多晶矽、二氧化矽、(氧)氮化矽、金屬(例如銅、鋁、金、鎢、鉭)、低k介電質、聚合物介電質及各種金屬氮化物及矽化物。裝置表面14亦可包括至少一個選自由以下組成之群的結構:焊料凸塊;金屬樁;金屬柱;及由選自由以下組成之群的材料形成之結構:矽、多晶矽、二氧化矽、(氧)氮化矽、金屬、低k介電質、聚合物介電質、金屬氮化物及金屬矽化物。
如圖1(a)中所示,將組成物塗覆於第一基板12以在裝置表面14上形成第一接合層20。接合層20具有遠離第一基板12之上表面21,且較佳該第一接合層20與裝置表面14直接相鄰形成(亦即在第一接合層20與基板12之間無任何中間層)。組成物可由任何已知塗覆方法進行塗覆,其中一種較佳方法為以約500rpm至約5,000rpm(較佳約500rpm至約2,000rpm)之速度旋塗組成物持續約5秒至約120秒(較佳約30秒至約90秒)之時間。在塗覆組成物之後,較佳將其加熱至約80℃至約250℃之溫度,且更佳約170℃至約220℃之溫度且維持約60秒至約8分鐘(較佳約90秒至約6分鐘)之時間。視用以形成第一接合層20之組成物而定,烘烤亦可起始交聯反應以固化層20。在一些具體實例中,較佳視所用之組成物而定使該層經受多階段烘烤製程。此外,在一些情況下,可對組成物之另一等分試樣重複以上塗覆及烘烤製程,以便以多個步驟在第一基板12上「建立」第一接合層20。
第二前驅結構22亦描繪於圖1(a)中之示意性剖面圖中。第二前驅結構22包括第二基板24。在此具體實例中,第二基板24為載體晶圓。亦即,第二基板24具有前表面或載體表面26、後表面28及最外側邊緣30。儘管第二基板24可具有任何形狀,但其典型地為圓形且大小類似於第一基板12。較佳第二基板24包括矽、藍寶石、石英、金屬(例如鋁、銅、鋼)及各種玻璃及陶瓷。
如圖1(a)中所示,將第二組成物塗覆於第二基板24以在載體表面 26上形成第二接合層32。第二接合層32具有遠離第二基板24之上表面33及與第二基板24相鄰之下表面35。較佳第二接合層32與載體表面26直接相鄰形成(亦即在第二接合層32與第二基板24之間無任何中間層)。組成物可藉由任何已知塗覆方法進行塗覆,其中一種較佳方法為以約500rpm至約5,000rpm(較佳約500rpm至約2,000rpm)之速度旋塗組成物持續約5秒至約120秒(較佳約30秒至約90秒)之時間。在塗覆組成物之後,較佳將其加熱至約80℃至約250℃之溫度,且更佳約170℃至約220℃之溫度且維持約60秒至約8分鐘(較佳約90秒至約6分鐘)之時間。視用以形成第二接合層32之組成物而定,烘烤亦可起始交聯反應以固化層32。在一些具體實例中,較佳視所用之組成物而定使該層經受多階段烘烤製程。
第一及第二接合層20及32之厚度(以及如本文所述之其他層)可參考圖2進行最佳說明,其中使用類似編號來表示類似部件。圖2中已繪製裝置表面14以示意性描繪由於存在上述裝置以及裝置表面14上或其中所存在之凸起特徵、接觸孔、通孔、線、溝槽等而致的裝置表面14上地形之變化。裝置表面14上存在之各種特徵中包括最高特徵36及最低特徵38。(如本文所用,「最高(highest)」係指由第一基板12之後表面16延伸最遠的特徵,而「最低(lowest)」係指最低點最靠近第一基板12之後表面16的特徵。)最高特徵36具有最高表面36a,而最低特徵38具有最低表面或最低點38a。當提及已塗覆於地形(亦即非平坦)表面之層的厚度時,可提及兩個厚度。T1係指如圖2所例示由最低表面或最低點38a所界定之下平面40延伸至上表面21之距離。T2係指所量測高於最高表面36a之層厚度。特定言之且如圖2中所示,此厚度T2始於上平面42且延伸至上表面21。當提及已塗覆於平坦(或實質上平坦)表面之層的厚度時,彼厚度由圖2中之T3表示,且為層32之下表面35與上表面33之間的距離。最終,在一些情況下,使 用厚度T4,且其係指下平面40至上平面42之距離。所有厚度均指經五次量測所獲取之平均厚度。
在本發明之具體實例中,第一接合層20較佳具有至少等於T4,較佳為約1.1 T4至約1.5 T4,且更佳為約1.2 T4至約1.3 T4之厚度T。此情形典型地產生至少約24μm,更佳約45μm至約200μm,且甚至更佳約50μm至約150μm之厚度T1。此外,第一接合層20較佳具有至少約5μm,更佳約5μm至約50μm,且甚至更佳約10μm至約30μm之厚度T2。第二接合層32具有至少約35μm,較佳約1μm至約35μm,更佳約1μm至約25μm,且甚至更佳約1μm至約15μm之厚度T3
第一接合層20較佳具有比第二接合層32之軟化點高至少約20℃,更佳高約20℃至約200℃,且甚至更佳高約20℃至約100℃之軟化點(環及球)。此情形典型地將產生具有至少約100℃,較佳約150℃至約400℃,且更佳約200℃至約300℃之軟化點的第一接合層20。此外,第二接合層32之典型軟化點低於約220℃,較佳為約50℃至約220℃,且更佳為約100℃至約150℃。
形成第一及第二接合層20及32之材料應能夠分別與第一及第二基板12及24以及彼此形成強黏著接合。如ASTM D4541/D7234所測定黏著強度大於約50磅/平方吋,較佳約80磅/平方吋至約250磅/平方吋,且更佳約100磅/平方吋至約150磅/平方吋之任何材料對於用作第一及第二接合層20及32而言將為合意的。
用於形成第一及第二接合層20及32之組成物宜選自能夠形成為具有以上性質之層的市售接合組成物。典型此類組成物為有機物且將包含溶解或分散於溶劑系統中之聚合物或寡聚物。聚合物或寡聚物典型地選自由以下組成之群:環狀烯烴、環氧樹脂、丙烯酸系物、聚矽氧、苯乙烯、鹵乙烯、乙烯酯、聚醯胺、聚醯亞胺、聚碸、聚醚碸、環狀烯烴、聚烯烴橡膠及聚胺基甲酸酯、乙烯-丙烯橡膠、聚醯胺 酯、聚醯亞胺酯、聚縮醛及聚乙烯醇縮丁醛之聚合物及寡聚物。典型溶劑系統將視所選聚合物或寡聚物而定。以組成物之總重量視為100重量%計,組成物之典型固體含量將在約1重量%至約60重量%,且較佳約3重量%至約40重量%之範圍內。一些適合組成物描述於美國專利公開案第2007/0185310號、第2008/0173970號、第2009/0038750號及第2010/0112305號中,各文獻以引用的方式併入本文中。
接著將結構10及22以面對面關係按壓於一起,以便使第一接合層20之上表面21與第二接合層32之上表面33接觸(圖1(b))。按壓的同時,施加足夠壓力及熱量持續足量時間以實現兩個結構10及22接合在一起以形成接合之堆疊34。接合參數將視形成接合層20及32之組成物而改變,但此步驟期間之典型溫度在約150℃至約375℃,且較佳約160℃至約350℃之範圍內,典型壓力在約1,000N至約5,000N,且較佳約2,000N至約4,000N之範圍內,持續約30秒至約5分鐘,且更佳約2分鐘至約4分鐘之時間。
在此階段,第一基板12可安全地處理及經受可能本來會損壞第一基板12而不能接合至第二基板24之其他製程。因此,結構可安全地經受背面加工,諸如背面研磨、CMP、蝕刻、金屬及介電質沈積、圖案化(例如光微影、通孔蝕刻)、鈍化、退火及其組合,而不會發生基板12與24之分離,且在此等後續加工步驟中不會滲入所遇到之任何化學物質。第一接合層20及第二接合層32不僅可經受得住此等製程,而且其亦可經受得住高達約450℃,較佳約200℃至約400℃,且更佳約200℃至約350℃之加工溫度。
一旦加工完成,則可藉由許多分離方法(圖中未示)分離基板12及24。一種方法涉及將第一及第二接合層20、32中之一或兩者溶解於溶劑(例如檸檬烯、十二烯、丙二醇單甲醚(PGME))中。或者,基板12及24亦可藉由使用雷射剝蝕、電漿蝕刻、水噴射或有效蝕 刻或分解第一及第二接合層20、32之其他高能量技術首先機械破壞或毀壞第一及第二接合層20、32中之一或兩者之周邊而分離。首先鋸開或切開第一及第二接合層20、32或藉由一些等效方法分開層20、32亦適合。無論利用上述何種方法,接著均可施加低機械力(例如手指壓力、輕緩楔入)來使基板12及24完全分離。
最佳分離方法涉及將接合之堆疊34加熱至至少約100℃,較佳約150℃至約220℃,且更佳約180℃至約200℃之溫度。應瞭解,在此等溫度下,第二接合層32將軟化,從而允許分離基板12及24(例如藉由滑動脫裂方法,諸如美國專利公開案第2008/0200011號中所述之方法,該文獻以引用的方式併入本文中)。在分離後,可用能夠溶解特定層20或32之溶劑移除任何剩餘第一或第二接合層20及32。在一些具體實例中,形成第一接合層20之組成物經選擇以便適當地在第一基板12上永久地留下一些或所有第一接合層20。在此等情況下,第一接合層20在後續晶圓加工步驟中將提供一些功能(例如間隙填充),亦即先前技術製程中所缺少之優勢。
應瞭解,此雙層具體實例提供許多優勢。結構之接合溫度及總體熱穩定性可因本發明之方法而受到控制。亦即,本發明之方法允許使用較高加工溫度,同時使得有可能在較低溫度下進行接合及脫裂。
2.雙層接合方案II
第二雙層接合方案展示於圖3中,其中類似編號表示類似部件。在此具體實例中,在裝置表面14上形成具有上表面46及下表面48之「清潔」或升離層44。升離層44可藉由任何已知塗覆方法形成,其中一種較佳方法為以約500rpm至約5,000rpm(較佳約500rpm至約2,000rpm)之速度旋塗用以形成層44之組成物持續約5秒至約120秒(較佳約30秒至約90秒)之時間。在塗覆組成物之後,較佳將其加熱至約60℃至約250℃之溫度,且更佳約80℃至約220℃之溫度且維持約 60秒至約4分鐘(較佳約90秒至約2分鐘)之時間。在一些具體實例中,較佳視所用之組成物而定使該層經受多階段烘烤製程。視用以形成升離層44之組成物而定,烘烤亦可起始交聯反應以固化層44。
升離層44之厚度T1較佳小於約3μm,更佳為約0.5μm至約3μm,且甚至更佳為約1μm至約1.5μm。在其他具體實例中,升離層44為保形層,因此其將不具有以上厚度。
用以形成升離層44之組成物應經選擇以使層44可溶於選自由以下組成之群的溶液中:1%鹽酸水溶液、50%乙酸水溶液、異丙醇、1-十二烯、R-檸檬烯、環戊酮、PGME及氫氧化四甲基銨(TMAH)。更特定言之,升離層44在與特定去除劑溶液接觸約4至5小時後至少約95%,較佳至少約99%,且較佳100%被溶解/移除。
形成升離層44之較佳組成物可選自具有以上性質之市售組成物。該等組成物之實例包括選自由聚(乙烯基吡啶)及聚醯胺酸組成之群的彼等組成物。兩種較佳此類組成物為ProLIFT®及WGF系列濕式顯影材料(可獲自Brewer Science公司)。所用之尤其較佳組成物描述於美國專利公開案第2009/0035590號中,該文獻以引用的方式併入本文中。
接下來,在升離層44上形成接合層20(圖3(b))。接合層20之厚度T1較佳如關於圖1所述,且厚度T2為至少約5μm,更佳為約5μm至約50μm,且甚至更佳為約10μm至約30μm。接著如先前所述使第二基板24接合於接合層20(圖3(c))以形成接合之堆疊50。接著可使接合之堆疊50經受如上所述之進一步加工。
一旦準備分離第一及第二基板12及24,則將接合之堆疊50暴露於以上去除劑溶液之一(較佳持續約1分鐘至約5小時,且更佳約2分鐘至約60分鐘之時間),以便該溶液溶解升離層44,由此允許分離基板12及24。在升離層44充當「清潔」層之具體實例中,基板12及24宜 藉由加熱以軟化接合層20足以允許分離基板12及24而分離。一旦基板及24分離,則可利用去除劑溶液移除升離/清潔層44,且此舉同時將亦使接合層20之任何剩餘殘餘物被移除。
3.三層接合方案I
第一種三層接合方案展示於圖4中,其中類似編號表示類似部件。圖4中所示之具體實例與圖3中所示類似,其中例外為將圖3之第一接合層20變為第二接合層32且在「清潔」或升離層44與第二接合層32之間添加額外層。特定言之,在裝置表面14上形成升離層44之後(如先前所述,且參見圖4(a)),在升離層44之上表面46上形成具有上表面54及下表面56之剛性層52(圖4(b))。如本文所用,「剛性(rigid)」係指層具有如流變儀所測定至少1GPa之高剪切模數。此外,「剛性」係指層在製程溫度(典型地約150℃至約400℃,且較佳約200℃至約300℃)下不會流動。
用以形成剛性層52之組成物為與上文關於第一接合層20所討論相同類型之組成物。此外,剛性層52將以與上文關於第一接合層20所述類似的方式形成(若升離層44本質上為保形層,則包括與關於圖1所述類似之厚度)。剛性層52之厚度T3(若升離層44本質上不保形)較佳為約1μm至約35μm,更佳為約1μm至約25μm且甚至更佳為約1μm至約15μm。
參考圖4(c),使用與先前所述相同之塗覆方法及相同類型之組成物在剛性層52之上表面54上形成第二接合層32。在此具體實例中,第二接合層32之厚度T3為約1μm至約35μm,更佳為約1μm至約25μm,且甚至更佳為約1μm至約15μm。
剛性層52之軟化點較佳比第二接合層32之軟化點高至少約20℃,更佳高約20℃至約300℃,且甚至更佳高約20℃至約100℃。此情形典型地使剛性層52具有至少約100℃,較佳約150℃至約400℃,且 更佳約200℃至約300℃之軟化點。
如先前所述使第二基板24接合於接合層32形成接合之堆疊58(圖4(d))。接著可使接合之堆疊58經受如上所述之進一步加工。一旦準備分離第一及第二基板12及24,則使接合之堆疊58暴露於先前所述去除劑溶液之一,以便溶液溶解升離層44,由此允許分離基板12及24。或者,分離可如先前描述藉由加熱堆疊58以便軟化接合層32來實現。在此藉由加熱分離之情況下,升離層44再次充當清潔層,且接合層殘餘物可藉由用去除劑溶液移除層44來移除。
4.三層接合方案II
另一種三層接合方案展示於圖5(a)至圖5(d)中,其中類似編號表示類似部件。此具體實例與以上具體實例之不同之處在於多層接合系統包括兩個剛性層52,在兩個層52之間具有一層第二接合層32。組成物選擇、加工參數及步驟等與上文關於相應層所述相同。儘管圖中未示,但此具體實例可藉由使接合層32與一個剛性層52翻轉來改變(且剛性層52較佳最接近第二基板24)。
5.基板邊緣之多層
本發明之其他具體實例展示於圖6及圖7中,其中類似部件以類似方式編號。對於此等具體實例,參考美國專利公開案第2009/0218560號,該文獻以引用的方式併入本文中。
參考圖6(a),在此具體實例中,描繪結構55。第一基板12之裝置表面14包括周邊區域57、中心區域59及位於周邊區域57上之雙層接合系統60。系統60包括薄層62,其具有上表面64及下表面66;以及接合區段68,其包括外表面70、內表面72、下表面74及接合表面76。薄層62之下表面66與第一基板12之裝置表面14在周邊區域57相鄰,而接合區段68之下表面74與薄層62相鄰。
薄層62可為與上文關於升離層44所述類似之升離層,或薄層62 可為助黏劑層。在其為助黏劑層之情況下,任何市售助黏劑組成物均可用於此目的。該等組成物之一些實例包括有機矽烷(ProTEK®底漆,可獲自Brewer Science公司)。
薄層62可由諸如旋塗之習知方法形成,之後在適於特定組成物之溫度下烘烤。舉例而言,可使用如上所述形成升離層44所遵循之方法形成薄層62。另外,儘管圖6(a)將此層描繪為僅存在於周邊區域57,但薄層62亦可在整個裝置表面14上延伸,以使其亦存在於中心區域59中。周邊區域57中薄層62之厚度T3較佳為約1μm至約35μm,更佳為約1μm至約25μm,且甚至更佳為約1μm至約15μm。在薄層62在整個裝置表面14上延伸之情況下,其厚度T1將為約0.1μm至約20μm,較佳為約0.25μm至約10μm,且更佳為約1μm至約3μm。在其他情況下,薄層62可為保形層,且因此不具有以上厚度。
接合區段68可由任何市售接合組成物形成,包括以上關於第一及第二接合層20及32所討論之彼等組成物。接合區段68之寬度「D」典型地為約2mm至約15mm,較佳為約2mm至約10mm,且更佳為約2mm至約5mm。此外,接合區段68之厚度T3較佳為約5μm至約100μm,更佳為約5μm至約50μm,且甚至更佳為約10μm至約30μm。
此時,如先前具體實例所述,結構55可接合至第二基板24,或如圖6(b)中所示,在裝置表面14之中心區域59可形成填充層78。填充層78之厚度與上文關於接合區段68所述相同。填充層78典型地由包含分散或溶解於溶劑系統中之單體、寡聚物及/或聚合物之材料形成。若填充層78經由旋塗塗覆,則此材料之固體含量較佳為約1wt%至約50wt%,更佳為約5wt%至約40wt%,且甚至更佳為約10wt%至約30wt%。適合單體、寡聚物及/或聚合物之實例包括選自由以下組成之群者:環狀烯烴聚合物及共聚物及具有高原子氟含量(大於約30wt%)之非晶形氟聚合物,諸如氟化矽氧烷聚合物、氟化乙烯-丙烯共聚 物、具有側接全氟烷氧基之聚合物,且四氟乙烯及2,2-雙-三氟甲基-4,5-二氟-1,3-間二氧雜環戊烯之共聚物尤其較佳。應瞭解,此等材料之接合強度將視其特定化學結構及用於塗覆其之塗佈及烘烤條件而定。
在此具體實例中,填充層78較佳不形成強黏著接合,因此利於稍後進行分離。一般而言,需要具有以下性質之非晶形聚合材料:(1)具有低表面自由能;(2)無黏著性且已知不強烈接合至玻璃、矽及金屬表面(亦即典型地具有極低羥基或羧酸基團濃度,且較佳無該等基團);(3)可由溶液鑄造或形成為薄膜以供層壓;(4)在典型接合條件下流動以填充裝置晶圓表面地形,從而在基板之間形成無空隙接合線;及(5)在背面加工期間所遭遇之機械應力下,甚至在高溫下或在高真空條件下進行加工時亦不會破裂、流動或再分佈。如本文所用,低表面自由能定義為如接觸角量測法所測定,聚合材料與水之接觸角呈至少約90°且臨界表面張力小於約40達因/公分,較佳小於約30達因/公分,且更佳為約12達因/公分至約25達因/公分。
低接合強度係指聚合材料不黏著或可僅用諸如可用以脫裂黏著便條紙之輕微手壓力自基板剝除。因此,需要黏著強度小於約50磅/平方吋,較佳小於約35磅/平方吋,且更佳為約1磅/平方吋至約30磅/平方吋之任何材料用作填充層22。展現以上性質之適合聚合材料之實例包括由Mitsui以APEL®銷售、由Ticona以TOPAS®銷售及由Zeon以ZEONOR®商標銷售之一些環狀烯烴聚合物及共聚物、及溶劑可溶性氟聚合物,諸如由Asahi Glass銷售之C YTOP®聚合物及由DuPont銷售之TEFLON® AF聚合物。此等材料之接合強度將視用以塗覆其之塗佈及烘烤條件而定。
此時,可使用關於先前具體實例所述之步驟使第二基板接合至結構55,以形成如圖6(c)中所示之接合之堆疊82。在堆疊82上完成所 需加工後,可容易地使第一基板12及第二基板24分離。在一種分離方法中,首先藉助於溶劑或其他化學試劑溶解接合區段68。此舉可藉由浸漬於溶劑中或藉由將一股溶劑噴灑於接合區段68上以將其溶解來完成。若欲使用溶劑溶解破壞接合區段68,則使用熱塑性材料尤其合乎需要。在此移除製程中典型地可使用之溶劑包括選自由以下組成之群的彼等溶劑:乳酸乙酯、環己酮、甲基吡咯啶酮、脂族溶劑(例如己烷、癸烷、十二烷及十二烯)及其混合物。
基板12及24亦可藉由首先使用雷射剝蝕、電漿蝕刻、水噴射或有效蝕刻或分解接合區段68之其他高能量技術機械破壞或毀壞接合區段68之連續性而分離。首先鋸開或切開接合區段68或藉由一些等效方法分開接合區段68亦適合。
無論利用上述何種方法,接著均可施加低機械力(例如手指壓力、輕緩楔入)來使基板12及24完全分離。有利的是,分離無需克服填充層78與基板12或24之間的強黏著接合。實情為,僅需要釋放周邊區域57中接合區段68之黏著接合來進行分離。接著可視需要用適當溶劑沖洗乾淨基板12及/或24之表面以移除任何殘餘材料。
關於以上具體實例,應注意在形成填充層78之前形成接合區段68為僅有的一種可能的形成順序。亦可首先形成填充層78,之後形成接合系統60或接合區段68。形成順序對於本發明並不關鍵且可由一般技術者改變。
參考圖7,展示本發明之另一具體實例,其中類似編號表示類似部件。此具體實例與圖6類似,其中例外為在結構55’及結合之堆疊82’中第一及第二基板12及24已轉換。亦即薄層62與第二基板24之載體表面26而非與第一基板12之裝置表面14接觸,且接合區段68之接合表面76接合至第一基板12之裝置表面14。因此,視特定應用之需要而定,薄層62可與接合區段68之下表面74或接合表面76或兩者相鄰。在 此具體實例中,薄層62具有關於圖6具體實例所述之厚度T3,且此等厚度適用於整個薄層62。
6.基板邊緣之具有區域之多層
圖8描繪本發明之另一具體實例,其中類似編號表示類似部件。參考圖8(a),僅在第一基板12之周邊區域57形成第二接合層32。塗覆方法、所需性質(包括軟化點)及用作第二接合層32之可能組成物如先前所述。參考圖8(b),如上文關於圖6及圖7所述,在裝置表面14之中心區域59中形成填充層78。
接下來且如圖8(c)中所示,在第二接合層32之上表面33上及填充層78之上表面80上形成第一接合層20以形成結構84。此外,塗覆方法、所需性質及用作第一接合層20之可能組成物如先前所述。可使用關於先前具體實例所述之步驟使第二基板24接合至結構84,以形成如圖8(d)中所示之接合之堆疊86。(或者,如實施例18所述,可替代地在第二基板24之載體表面21上形成第一接合層20,且接著可將兩個結構按壓於一起以形成接合之堆疊86,與圖1中所示之步驟順序類似。)
接著可使接合之堆疊86經受如上所述之進一步加工。一旦準備分離第一及第二基板12及24,則使接合之堆疊86暴露於去除劑溶液(例如檸檬烯、十二烯、PGME),以使溶液溶解第二接合層32,因此允許分離基板12及24。或者,如先前所述,分離可藉由加熱堆疊86以便使第二接合層32軟化來實現,該第二接合層32具有低於第一接合層20之軟化點,以使基板12及24可分離。
參考圖9,展示本發明之另一具體實例,其中類似編號表示類似部件。此具體實例與圖8類似,其中例外為在結構84’及結合之堆疊86’中第一及第二基板12及24已轉換。亦即,第二接合層32及填充層78與第二基板24之載體表面26而非與第一基板12之裝置表面14接觸, 且第一接合層20接合至第一基板12之裝置表面14。因此,第二接合層32及填充層78之位置可視特定應用之需要而進行調整。
對於展示各種接合、升離及剛性層實質上且甚至完全覆蓋特定基板表面的以上各接合方案,應瞭解一或多個此等層可進行修改以僅覆蓋特定基板之一部分(即使圖中未示)。換言之,特定基板表面之僅一部分與特定層接觸,且此情形仍處於本發明之範疇內。
此外,甚至在各層顯示在第一基板(裝置)上上下依次形成接著與第二基板(載體)接合而形成之情況下,所有層可替代地在第二基板上上下依次形成且接著與第一基板接合。或者,可在第一基板上形成一或多層,同時在第二基板上形成其他層,且接著使兩個基板接合於一起。順序並不關鍵,只要所得結構具有本文所示及/或所述之層系統即可。
實施例
以下實施例闡述本發明之較佳方法。然而,應瞭解,此等實施例以說明之方式提供且不應將其中任一者視為對本發明之總體範疇造成限制。
實施例1至實施例9說明本發明之改良之接合效能。實施例10至實施例16說明接合組成物在脫裂後即可清潔的改良之能力。
實施例1 環狀烯烴共聚物(COC)接合組成物A之組成
在此調配物中,將250公克乙烯-降冰片烯共聚物(APL 8008T,獲自Mitsui Chemicals America公司,Rye Brook,NY)及3.125公克酚系抗氧化劑(IRGANOX 1010,獲自BASF,Germany)溶解於373.45公克R-檸檬烯(獲自Florida Chemical公司,Winter Haven,FL)及373.45公克環辛烷(獲自Sigma-Aldrich,公司,St.Louis,MO)中。在室溫下攪拌混合物直至所有組分溶解。最終溶液具有25.31%固體。
實施例2 COC接合組成物B之組成
在此調配物中,將210.31公克乙烷-降冰片烯共聚物(Topas 8007,獲自Topas Advanced Polymers,Florence,KY)及62.4公克低分子量COC聚合物(Topas TM,獲自Topas Advanced Polymers,Florence,KY)溶解於706公克R-檸檬烯以及4.0公克酚系抗氧化劑(Irganox 1010)及14.5公克分子量為2,800道爾頓(Dalton)之聚異丁烯(獲自Scientific Polymer Products公司,Ontario,NY)中。在室溫下攪拌混合物直至所有成分溶解。溶液具有29%固體。
實施例3 COC接合組成物B之組成
在此調配物中,將50公克來自實施例2之COC接合組成物B與50公克R-檸檬烯混合。在室溫下攪拌混合物以形成溶液。溶液具有14.5%固體。
實施例4 接合組成物D之組成
在此調配物中,將120公克WaferBOND® HT-10.10材料(獲自Brewer Science公司)與80公克1-十二烯(Sigma-Aldrich,St.Louis,MO)混合。在室溫下攪拌混合物以形成溶液。
實施例5 裝置晶圓上之厚COC接合組成物A層及載體晶圓上之薄COC接合組成物C層
在此程序中,將10mL實施例1之COC接合組成物A旋塗於200mm矽晶圓上且烘烤(使用下文所述之旋轉及烘烤參數)以形成COC接合組成物A之膜,該COC接合組成物A為經設計以在270℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗 層。用10mL實施例1之COC接合組成物A之第二等分試樣精確重複此製程,其中使用此第二等分試樣以在第一膜之頂部上形成膜。兩個塗覆步驟後之最終膜厚度為96μm。
將實施例3之COC接合組成物C旋塗於另一200mm矽晶圓上,該COC接合組成物C為經設計以在220℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗層。COC接合組成物C之厚度為約3μm。COC接合組成物A及COC接合組成物C之旋塗及烘烤參數相同且如下。
●旋塗條件:800rpm旋塗60秒,加速度為每秒10,000rpm。
●烘烤條件依序為:80℃維持2分鐘,110℃維持2分鐘,160℃維持2分鐘且220℃維持6分鐘。
在真空下在220℃下在熱真空中在5,800N接合壓力之壓力室中使兩個塗有如上所述COC接合組成物A及COC接合組成物C之矽晶圓以面對面關係接合3分鐘。接著在220℃下與以引用的方式併入本文中之美國專利公開案第2010/0206479號中所述類似使用滑動製程之脫裂器(獲自Brewer Science公司,Rolla,MO)使接合之晶圓分離。
實施例6 厚COC接合組成物A及薄COC接合組成物C
在此程序中,將10mL實施例1之COC接合組成物A旋塗於200mm矽晶圓上且烘烤(使用下文所述之旋轉及烘烤參數)以形成COC接合組成物A之膜,該COC接合組成物A為經設計以在270℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗層。用10mL實施例1之COC接合組成物A之第二等分試樣精確重複此製程,其中使用此第二等分試樣以在第一膜之頂部上形成膜。兩個塗覆步驟後之最終膜厚度為93μm。
將實施例3之COC接合組成物C旋塗於COC接合組成物A膜之頂部 上,該COC接合組成物C為經設計以在220℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗層。COC接合組成物C膜之厚度為8μm。COC接合組成物A及COC接合組成物C之旋塗及烘烤參數相同且如下:
●旋塗條件:800rpm旋塗60秒,加速度為每秒10,000rpm。
●烘烤條件依序為:110℃維持4分鐘,160℃維持2分鐘且220℃維持6分鐘。
將另一200mm矽晶圓之中心用氟化矽烷(十七氟-1,1,2,2-四氫癸基三氯矽烷)塗佈,同時保留沿晶圓之外邊緣3mm區域無氟化矽烷。用於塗佈氟化矽烷之詳細製程描述於美國專利公開案第2009/10218560號之實施例1中,該文獻以引用的方式併入本文中。
在220℃下、在熱真空中且在接合壓力為5,800N之壓力下使上述晶圓對以面對面關係接合3分鐘。將晶圓對強力接合於一起,且使其經歷使裝置晶圓薄化為50μm之研磨製程。將接合之晶圓對於R-檸檬烯中浸泡24小時,且接著使用剝除脫裂器(ZoneBONDTM分離工具,獲自Brewer Science公司,Rolla,MO)藉由剝除製程來脫裂晶圓。在剝除脫裂製程中,藉由真空將裝置晶圓固持於平坦表面上,且藉由金屬夾鉗緊緊固持載體晶圓(矽烷化晶圓)。接著藉由剝除該夾鉗使裝置晶圓與載體晶圓分離。
實施例7 具有薄接合組成物D之厚聚碸
在此調配物中,將280公克聚碸(Ultrason E2020P;BASF,Flortham Park,NJ)溶解於520公克二甲基乙醯胺(Sigma-Aldrich,St.Louis,MO)中。在室溫下攪拌混合物直至聚碸溶解以形成溶液。溶液具有35%固體。
以600rpm之旋轉速度將以上聚碸溶液旋塗於200mm矽晶圓上60 秒。在80℃下烘烤經塗佈晶圓2分鐘,且接著在150℃下烘烤2分鐘,且接著在180℃下烘烤5分鐘。所得聚碸膜之厚度為51.64μm。接著以1400rpm之旋轉速度將實施例4之接合組成物D旋塗於聚碸膜之頂部上60秒。在80℃下烘烤該晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在180℃下烘烤5分鐘。稀WaferBOND® HT-10.10膜之總厚度為約2μm。
在室溫下將晶圓對於R-檸檬烯中浸泡24小時,且接著使用剝除脫裂器(ZoneBONDTM分離工具)分離晶圓。
實施例8 具有薄COC接合組成物C之厚聚碸
在此調配物中,將280公克聚碸(Ultrason E2020P)溶解於520公克二甲基乙醯胺(Sigma-Aldrich,St.Louis,MO)中。在室溫下攪拌混合物直至聚碸溶解以形成溶液。
以600rpm之旋轉速度將以上聚碸溶液旋塗於200mm矽晶圓上60秒。在80℃下烘烤經塗佈晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在180℃下烘烤5分鐘以完全移除鑄造溶劑。所得聚碸膜之厚度為52.9μm。接著以1,400rpm之旋轉速將實施例3之COC接合組成物C旋塗於聚碸膜之頂部上60秒。在80℃下烘烤該晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在180℃下烘烤5分鐘。COC接合組成物C之總厚度為約2μm。
在室溫下將以上晶圓對於R-檸檬烯中浸泡24小時,且接著使用剝除脫裂器(ZoneBONDTM分離工具)分離。
實施例9 用於滑動脫裂之厚COC接合組成物A及>20μm之COC接合組成物B膜
在此實施例中,將10mL實施例1之COC接合組成物A之等分試樣旋塗於200mm矽晶圓上兩次,該COC接合組成物A為經設計以在270 ℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗層。第一次旋塗以600rpm進行60秒,且第二次旋塗以800rpm進行60秒。在各塗佈之後,在80℃下烘烤晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在220℃下烘烤5分鐘。所得COC接合組成物A膜之厚度為99.14μm。
將實施例2之COC接合組成物B旋塗於塗有COC接合組成物A之同一晶圓上,該COC接合組成物B為經設計以在220℃下充分流動以達成經塗佈基板與第二基板之間有效接合的環狀烯烴聚合物塗層。以1500rpm之旋轉速度塗佈COC接合組成物B60秒。在80℃下烘烤晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在220℃下烘烤5分鐘。所得COC接合組成物B膜之厚度為29μm。
在熱真空下、在220℃下、在接合壓力為5,800N之壓力室中使上述晶圓與另一200mm矽晶圓以面對面關係接合3分鐘。
使用滑動脫裂器(獲自Brewer Science公司)之滑動脫裂製程分離接合之晶圓對。脫裂製程在每秒2mm之脫裂速率及220℃之溫度下進行。
實施例10 用HC1溶液清潔之聚(乙烯基吡啶)及COC接合組成物B
在此調配物中,將2公克聚(乙烯基吡啶)(獲自Sigma-Aldrich,St.Louis,MO)溶解於環戊酮中。在室溫下攪拌混合物直至聚合物溶解。環戊酮中聚(乙烯基吡啶)之總重量濃度為2%。溶液經由1.0μm過濾器過濾。
以2,000rpm之旋轉速度將以上聚(乙烯基吡啶)組成物旋塗於100mm矽晶圓上60秒。在80℃下烘烤經塗佈晶圓2分鐘且接著在220℃下烘烤2分鐘。所得聚(乙烯基吡啶)膜之厚度為0.0579μm(57.9nm)。接著以1,100rpm之旋轉速將COC接合組成物B旋塗於聚(乙烯基 吡啶)膜之頂部上60秒。在80℃下烘烤晶圓2分鐘,接著在160℃下烘烤2分鐘,且接著在220℃下烘烤6分鐘。所得聚合物膜之總厚度為約22μm。
在室溫下將經塗佈晶圓於1%氯化氫(HC1)水溶液中浸漬約4至5小時直至COC接合組成物B膜自晶圓升離。目測晶圓為潔淨的,但其在顯微鏡下觀測時仍明顯有一些殘餘物。
實施例11 用乙酸溶液清潔之聚(乙烯基吡啶)及COC接合組成物B
用與實施例10相同之組成物及相同之方式製備晶圓。在室溫下將經塗佈晶圓於50%乙酸水溶液中浸漬約4至5小時直至COC接合組成物B膜自晶圓升離。
目測用乙酸溶液清潔之晶圓為潔淨的,但其在顯微鏡下觀測時仍明顯有一些殘餘物。
實施例12 用R-檸檬烯、環戊酮及異丙醇清潔之聚(乙烯基吡啶)及COC接合組成物B
使經與實施例10相同之調配物及以與實施例10相同之方式塗佈之另一晶圓在室溫下以900rpm之速度旋轉,同時施與R-檸檬烯作為第一清潔溶劑持續400秒以移除COC接合組成物B膜。接著在室溫下藉由以900rpm之旋轉速度施與環戊酮持續400秒以移除聚(乙烯基吡啶)聚合物膜進行進一步清潔。以900rpm之旋轉速度用異丙醇旋轉沖洗晶圓120秒。藉由以1200rpm之速度旋轉晶圓60秒進行最終乾燥。藉由此製程清潔之晶圓目測無缺陷。
實施例13 用R-檸檬烯及異丙醇清潔之聚(乙烯基吡啶)及COC接合組成物B
使經與實施例10相同之調配物及以與實施例10相同之方式塗佈 之另一晶圓在室溫下以900rpm之速度旋轉,同時施與R-檸檬烯作為第一清潔溶劑持續400秒以移除COC接合組成物B膜。接著在室溫下藉由以900rpm之旋轉速度施與異丙醇持續400秒以移除聚(乙烯基吡啶)聚合物膜進行進一步清潔。藉由以1,200rpm之速度旋轉晶圓60秒進行最終乾燥。藉由此製程清潔之晶圓目測無缺陷。
實施例14 ProLIFT® 100-16塗料及WaferBOND® HT-10.10材料
以3,000rpm將ProLIFT® 100-16塗料(獲自Brewer Science公司,Rolla,MO)旋塗於200mm矽晶圓上90秒。在120℃下烘烤經塗佈晶圓90秒,且接著在205℃下烘烤90秒以產生厚約1μm之層。以1,500rpm將WaferBOND® HT-10.10材料旋塗於ProLIFT® 100-16膜之頂部上30秒。在120℃下烘烤該晶圓2分鐘,且接著在160℃下烘烤2分鐘以產生厚約16μm之層。在220℃下使另一200mm矽晶圓與經塗佈晶圓以面對面關係接合3分鐘,在15磅/平方吋之壓力下維持1分鐘。使接合之晶圓對冷卻至160℃維持1分鐘且逐漸冷卻至室溫。藉由使用滑動脫裂器在每秒2.00mm之速率及200℃之溫度下分離接合之晶圓對。
經脫裂晶圓上之塗層藉由以下來清潔:首先以250rpm之旋轉速度施與1-十二烯60秒以移除WaferBOND® HT-10.10聚合物膜,且接著藉由以300rpm之旋轉速度施與ProLIFT®去除劑(獲自Brewer Science公司,Rolla,MO)10秒以清潔ProLIFT®膜。藉由以1,400rpm之速度旋轉15秒乾燥晶圓。在清潔之後晶圓目測無缺陷。
實施例15 ProLIFT® 100塗料及COC接合組成物B
以3,000rpm將ProLIFT® 100-16塗料旋塗於200mm矽晶圓上90秒。在100℃下烘烤經塗佈晶圓120秒,且接著在245℃下烘烤60秒。以300rpm將實施例2之COC接合組成物B旋塗於ProLIFT® 100-16膜之 頂部上5秒。使速度勻升,且晶圓以1,200rpm旋轉60秒。在60℃下烘烤經塗佈晶圓60秒,接著在80℃下烘烤60秒,且接著在220℃下烘烤120秒。
晶圓藉由以下來進行清潔:首先使用R-檸檬烯以移除COC接合組成物B聚合物膜,且接著藉由施與PD523-AD顯影劑(JSR Microelectromics,Sunnyvale,CA)以移除ProLIFT® 100-16膜。特定清潔程序如下:
清潔COC接合組成物B:
1.覆液R-檸檬烯:0rpm持續60秒
2.旋轉:2,000rpm持續5秒
3.手動施與R-檸檬烯:500rpm持續60秒
4.旋轉:2,000rpm持續5秒
5.手動施與異丙醇進行沖洗:500rpm持續30秒
6.旋轉乾燥:2,000rpm持續15秒
清潔ProLIFT® 100-16塗層:
1.覆液PD523-AD顯影劑:0rpm持續20秒
2.旋轉:2,000rpm持續5秒
3.手動施與去離子水:500rpm持續20秒
4.手動施與異丙醇進行沖洗:500rpm持續5秒
5.旋轉乾燥:2,000rpm持續15秒
使用Candela CS20工具(獲自KLA Tencor,Milpitas,CA)藉由缺陷檢驗確定晶圓為潔淨的。
實施例16 WGF 300-310材料及COC接合組成物B
以3,000rpm將WGF 300-310材料(顯影劑可溶性間隙填充組成物,獲自Brewer Science公司,Rolla,MO)旋塗於200mm矽晶圓上90 秒。在100℃下烘烤經塗佈晶圓120秒,且接著在245℃下烘烤60秒以產生厚約720Å之膜。以300rpm將實施例2之COC接合組成物B旋塗於WGF 300-310膜之頂部上5秒,且接著使速度勻升且以1,200rpm旋轉晶圓60秒。接著在60℃下烘烤經塗佈晶圓60秒,接著在80℃下烘烤60秒,且接著在220℃下烘烤120秒。
晶圓藉由以下來清潔:首先使用R-檸檬烯移除COC接合組成物B聚合物膜,且接著施與PD523-AD顯影劑以移除WGF 300-310膜。特定清潔程序如下:
清潔COC接合組成物B
1.覆液R-檸檬烯:0rpm持續60秒
2.旋轉:1,500rpm持續5秒
3.手動施與R-檸檬烯:500rpm持續60秒
4.旋轉:1,500rpm持續5秒
5.手動施與異丙醇進行沖洗:500rpm持續0秒
6.旋轉乾燥:2,000rpm持續15秒
清潔WGF 300-310塗層:
1.覆液PD523-AD顯影劑:0rpm持續20秒
2.旋轉:1,500rpm持續5秒
3.手動施與去離子水:500rpm持續20秒
4.手動施與異丙醇進行沖洗:500rpm持續5秒
5.旋轉乾燥:2,000rpm持續15秒
使用Candela CS20工具藉由缺陷檢驗確定晶圓為潔淨的。
實施例17 WGF 300-310材料、COC接合組成物A及COC接合組成物B
以3,000rpm將WGF 300-310材料旋塗於100mm矽晶圓上90秒。在100℃下烘烤經塗佈晶圓120秒,且接著在245℃下烘烤60秒。WGF 300-310膜之厚度為0.0632μm(63.2nm)。以600rpm之速度將實施例1之COC接合組成物A旋塗於WGF 300-310膜之頂部上60秒。接著在80℃下烘烤晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在220℃下烘烤5分鐘。COC接合組成物A層之厚度為41μm。以1,400rpm之速度將實施例2之COC接合組成物B旋塗於COC接合組成物A之頂部上60秒。接著在80℃下烘烤晶圓2分鐘,接著在150℃下烘烤2分鐘,且接著在220℃下烘烤5分鐘。COC接合組成物B層之厚度為8.2μm。
上述晶圓首先藉由將其於R-檸檬烯中浸漬24小時以移除COC接合組成物A及B聚合物層來清潔。接著如下進行用PD523-AD顯影劑清潔WGF 300-310膜之第二步驟:
1.覆液PD523-AD顯影劑:0rpm持續20秒
2.旋轉:2,000rpm持續5秒
3.手動施與去離子水:500rpm持續20秒
4.手動施與異丙醇進行沖洗:500rpm持續5秒
5.旋轉乾燥:2,000rpm持續15秒
晶圓目測為潔淨的。
實施例18 使用多層以輔助ZoneBONDTM邊緣切割
將厚約1μm之WaferBOND® HT-10.10層塗佈於200mm矽載體晶圓之表面邊緣周圍3至5mm寬之環上。在110℃下烘烤此晶圓2分鐘,之後在160℃下進行第二次烘烤2分鐘。使用FC-40溶劑(獲自3M)將氟化矽烷((十七氟-1,1,2,2-四氫癸基)三氯矽烷,一種主要為C12之全氟化合物,以名稱Fluorinert由3M銷售)稀釋成1%溶液。將溶液旋塗於載體之中心部分上。在熱板上在100℃下烘烤載體1分鐘,在旋轉塗佈機中用FC-40溶劑沖洗,且在熱板上在100℃下再烘烤1分鐘。
用COC接合組成物經由旋塗塗佈另一200mm矽裝置晶圓之表 面。在80℃下烘烤此晶圓2分鐘,之後在120℃下烘烤2分鐘,且最終在220℃下烘烤2分鐘。在真空下、在220℃下、在熱真空及壓力室中使裝置及載體晶圓以面對面關係接合3分鐘。
將總成於1-十二烯中浸泡約1小時以軟化及部分溶解載體邊緣處之WaferBOND® HT-10.10薄層。1-十二烯不影響大部分實驗接合黏著劑,僅影響WaferBOND® HT-10.10。使用ZoneBONDTM分離工具使載體自總成分離。
10‧‧‧前驅結構
12‧‧‧第一基板
14‧‧‧前表面或裝置表面
16‧‧‧後表面
18‧‧‧最外側邊緣
20‧‧‧第一接合層
21‧‧‧上表面
22‧‧‧第二前驅結構
24‧‧‧第二基板
26‧‧‧前表面或載體表面
28‧‧‧後表面
30‧‧‧最外側邊緣
32‧‧‧第二接合層
33‧‧‧上表面
34‧‧‧接合之堆疊
35‧‧‧下表面

Claims (4)

  1. 一種用於分離具有經由第一及第二接合層暫時接合之第一基板及第二基板之堆疊的方法,其包含:使用雷射剝蝕、電漿蝕刻、水噴射或有效蝕刻或分解該第一及第二接合層之其他高能量技術,機械破壞或毀壞該第一及第二接合層中之一或兩者的周邊。
  2. 如請求項1之方法,其中低機械力被施加,以使該等基板完全分離。
  3. 一種用於分離具有經由第一及第二接合層暫時接合之第一基板及第二基板之堆疊的方法,其包含:鋸開或切開或分開該第一及第二接合層。
  4. 如請求項3之方法,其中低機械力被施加,以使該等基板完全分離。
TW104127546A 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層 TW201545227A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37151710P 2010-08-06 2010-08-06
US13/198,294 US9263314B2 (en) 2010-08-06 2011-08-04 Multiple bonding layers for thin-wafer handling

Publications (1)

Publication Number Publication Date
TW201545227A true TW201545227A (zh) 2015-12-01

Family

ID=45556370

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103122762A TWI505347B (zh) 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層
TW104127546A TW201545227A (zh) 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層
TW100128146A TWI604520B (zh) 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層及暫時接合方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103122762A TWI505347B (zh) 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100128146A TWI604520B (zh) 2010-08-06 2011-08-08 用於薄晶圓處理之多重接合層及暫時接合方法

Country Status (8)

Country Link
US (4) US9263314B2 (zh)
EP (3) EP2733734B1 (zh)
JP (4) JP5972874B2 (zh)
KR (3) KR20140039330A (zh)
CN (2) CN104022016B (zh)
SG (4) SG2014014922A (zh)
TW (3) TWI505347B (zh)
WO (1) WO2012057893A2 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064686B2 (en) * 2010-04-15 2015-06-23 Suss Microtec Lithography, Gmbh Method and apparatus for temporary bonding of ultra thin wafers
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP5958262B2 (ja) * 2011-10-28 2016-07-27 信越化学工業株式会社 ウエハ加工体、ウエハ加工用部材、ウエハ加工用仮接着材、及び薄型ウエハの製造方法
WO2013116071A1 (en) * 2012-01-30 2013-08-08 3M Innovative Properties Company Apparatus, hybrid laminated body, method, and materials for temporary substrate support
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8999817B2 (en) * 2012-02-28 2015-04-07 Shin-Etsu Chemical Co., Ltd. Wafer process body, wafer processing member, wafer processing temporary adhesive material, and method for manufacturing thin wafer
EP2828883B1 (en) * 2012-03-20 2019-07-31 3M Innovative Properties Company Laminate body and method of making the same for temporary substrate support
EP2657963B1 (en) 2012-04-24 2017-09-06 Shin-Etsu Chemical Co., Ltd. Wafer-trilayer adhesive layer-support composite, wafer support with trilayer adhesive layer for use in wafer processing, trilayer adhesive layer for use in wafer processing, method of manufacturing said composite and method of manufacturing a thin wafer using said composite
US9127126B2 (en) 2012-04-30 2015-09-08 Brewer Science Inc. Development of high-viscosity bonding layer through in-situ polymer chain extension
JP5360260B2 (ja) * 2012-05-08 2013-12-04 Jsr株式会社 基材の処理方法、積層体および半導体装置
JP6031264B2 (ja) * 2012-06-13 2016-11-24 富士フイルム株式会社 半導体装置製造用仮接着剤、並びに、それを用いた接着性支持体、及び、半導体装置の製造方法
US9450109B2 (en) 2012-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9452924B2 (en) 2012-06-15 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR101970291B1 (ko) 2012-08-03 2019-04-18 삼성전자주식회사 반도체 패키지의 제조 방법
US8888944B2 (en) 2012-09-07 2014-11-18 Erik G. de Jong Affinity bond layer
JP5982248B2 (ja) * 2012-09-28 2016-08-31 富士フイルム株式会社 半導体装置製造用仮接合層、積層体、及び、半導体装置の製造方法。
US9269623B2 (en) * 2012-10-25 2016-02-23 Rohm And Haas Electronic Materials Llc Ephemeral bonding
US20140127857A1 (en) * 2012-11-07 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Carrier Wafers, Methods of Manufacture Thereof, and Packaging Methods
US9636782B2 (en) 2012-11-28 2017-05-02 International Business Machines Corporation Wafer debonding using mid-wavelength infrared radiation ablation
US20140144593A1 (en) 2012-11-28 2014-05-29 International Business Machiness Corporation Wafer debonding using long-wavelength infrared radiation ablation
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
JP5610328B1 (ja) * 2013-03-14 2014-10-22 富士電機株式会社 半導体デバイスの製造方法
US20140342148A1 (en) * 2013-05-15 2014-11-20 Corning Incorporated Glass structures and methods of creating and processing glass structures
TWI581462B (zh) * 2013-06-03 2017-05-01 晶元光電股份有限公司 發光元件及其製造方法
CN104241491B (zh) * 2013-06-07 2018-12-28 晶元光电股份有限公司 发光元件及其制造方法
TWI610374B (zh) 2013-08-01 2018-01-01 格芯公司 用於將搬運器晶圓接合至元件晶圓以及能以中段波長紅外光雷射燒蝕釋出之接著劑
FR3009428B1 (fr) * 2013-08-05 2015-08-07 Commissariat Energie Atomique Procede de fabrication d'une structure semi-conductrice avec collage temporaire via des couches metalliques
US10103048B2 (en) 2013-08-28 2018-10-16 Brewer Science, Inc. Dual-layer bonding material process for temporary bonding of microelectronic substrates to carrier substrates
JP6182491B2 (ja) * 2013-08-30 2017-08-16 富士フイルム株式会社 積層体およびその応用
JP6096085B2 (ja) * 2013-08-30 2017-03-15 富士フイルム株式会社 積層体およびその応用
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN103617944B (zh) * 2013-10-21 2016-04-27 中国电子科技集团公司第五十五研究所 基于光刻胶的临时键合及去键合的方法
US9315696B2 (en) 2013-10-31 2016-04-19 Dow Global Technologies Llc Ephemeral bonding
EP3069388A1 (en) * 2013-11-11 2016-09-21 The Regents Of The University Of Michigan Thermally-assisted cold-weld bonding for epitaxial lift-off process
CN103633004B (zh) * 2013-11-20 2016-05-25 中国电子科技集团公司第四十一研究所 30μm-50μm超薄石英基片上光刻刻蚀薄膜电路图形的方法
US20150147850A1 (en) * 2013-11-25 2015-05-28 Infineon Technologies Ag Methods for processing a semiconductor workpiece
CN103640096B (zh) * 2013-11-26 2015-12-02 浙江上城科技有限公司 一种蓝宝石薄片的加工方法
SG11201605469PA (en) * 2014-01-07 2016-08-30 Brewer Science Inc Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes
US9865490B2 (en) * 2014-01-07 2018-01-09 Brewer Science Inc. Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
JP2015176958A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
JP6228508B2 (ja) * 2014-05-01 2017-11-08 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、プログラム及びコンピュータ記憶媒体
JP6153886B2 (ja) * 2014-05-09 2017-06-28 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、プログラム及びコンピュータ記憶媒体
US10759660B2 (en) * 2014-05-13 2020-09-01 Qorvo Us, Inc. Method for processing product wafers using carrier substrates
USD785057S1 (en) * 2014-05-21 2017-04-25 Brewer Science Inc. Bake plate
TWI661935B (zh) 2014-06-13 2019-06-11 日商富士軟片股份有限公司 暫時接著用積層體、暫時接著用積層體的製造方法以及帶有元件晶圓的積層體
TW201601918A (zh) 2014-06-13 2016-01-16 Fujifilm Corp 暫時接著用積層體、暫時接著用積層體的製造方法以及帶有元件晶圓的積層體
TWI667311B (zh) 2014-06-13 2019-08-01 日商富士軟片股份有限公司 Temporary fixing of the adhesive, adhesive film, adhesive support, laminate and adhesive kit
CN104157577B (zh) * 2014-08-26 2016-11-02 上海华虹宏力半导体制造有限公司 半导体器件的形成方法
JP2016076543A (ja) * 2014-10-03 2016-05-12 株式会社東芝 固体撮像装置の製造方法
US9991150B2 (en) 2014-12-12 2018-06-05 Micro Materials Inc. Procedure of processing a workpiece and an apparatus designed for the procedure
CN104485294A (zh) 2014-12-12 2015-04-01 浙江中纳晶微电子科技有限公司 一种晶圆临时键合及分离方法
JP6225894B2 (ja) * 2014-12-24 2017-11-08 信越化学工業株式会社 ウエハの仮接着方法及び薄型ウエハの製造方法
JP2016146429A (ja) * 2015-02-09 2016-08-12 トヨタ自動車株式会社 半導体装置の製造方法
US9644118B2 (en) 2015-03-03 2017-05-09 Dow Global Technologies Llc Method of releasably attaching a semiconductor substrate to a carrier
WO2016153582A1 (en) * 2015-03-20 2016-09-29 Applied Materials, Inc. Ceramic electrostatic chuck bonded with high temperature polymer bond to metal base
US9296915B1 (en) * 2015-04-10 2016-03-29 Dow Global Technologies Llc Toughened arylcyclobutene polymers
JP6481050B2 (ja) * 2015-05-08 2019-03-13 富士フイルム株式会社 デバイス基板及び半導体デバイスの製造方法
CN104979262B (zh) * 2015-05-14 2020-09-22 浙江中纳晶微电子科技有限公司 一种晶圆分离的方法
EP3297824A1 (en) 2015-05-19 2018-03-28 Corning Incorporated Articles and methods for bonding sheets with carriers
KR102524620B1 (ko) 2015-06-26 2023-04-21 코닝 인코포레이티드 시트 및 캐리어를 포함하는 방법들 및 물품들
CN105280541A (zh) * 2015-09-16 2016-01-27 中国电子科技集团公司第五十五研究所 一种用于超薄半导体圆片的临时键合方法及去键合方法
US10050012B2 (en) * 2015-11-25 2018-08-14 International Business Machines Corporation Method for semiconductor die removal rework
US9704820B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing method and associated semiconductor manufacturing system
DE102016106351A1 (de) * 2016-04-07 2017-10-12 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zum Bonden zweier Substrate
CN107481953B (zh) * 2016-06-08 2021-01-05 日本特殊陶业株式会社 层叠发热体
DE102016114949B4 (de) 2016-08-11 2023-08-24 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
KR101877897B1 (ko) * 2017-03-06 2018-07-12 엘비세미콘 주식회사 범프 구조체의 제조방법
WO2018169762A1 (en) * 2017-03-15 2018-09-20 Didrew Technology (Bvi) Limited Method and system for debonding temporarily adhesive-bonded carrier-workpiece pair
CN106847718A (zh) * 2017-03-28 2017-06-13 深圳市化讯半导体材料有限公司 一种器件晶圆的临时键合与拆键合工艺
KR20180124198A (ko) * 2017-05-10 2018-11-21 코닝 인코포레이티드 기판 처리 방법들
US10403598B2 (en) 2017-08-11 2019-09-03 Micron Technology, Inc. Methods and system for processing semiconductor device structures
US10910535B2 (en) * 2017-11-08 2021-02-02 SemiLEDs Optoelectronics Co., Ltd. Method for making light emitting device LED arrays
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
KR102228537B1 (ko) * 2018-03-23 2021-03-15 주식회사 엘지화학 백 그라인딩 테이프
WO2019202067A1 (en) * 2018-04-20 2019-10-24 Aveni Method for temporary or permanent wafer bonding
DE102020102876B4 (de) * 2020-02-05 2023-08-10 Infineon Technologies Ag Elektronisches Bauelement, Herstellungsverfahren dafür und Verfahren zur Herstellung eines elektronischen Moduls dieses aufweisend mittels eines Sinterverfahrens mit einer Opferschicht auf der Rückseitenmetallisierung eines Halbleiterdies
US11502106B2 (en) 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices
CN112689886B (zh) * 2020-06-16 2022-11-18 福建晶安光电有限公司 一种衬底加工方法及半导体器件制造方法
FR3113771B1 (fr) * 2020-08-27 2022-10-21 Commissariat Energie Atomique Procédé de fabrication d'un substrat-poignée destiné au collage temporaire d'un substrat.
CN113714650A (zh) * 2021-08-25 2021-11-30 大族激光科技产业集团股份有限公司 晶片的制造方法

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3970494A (en) 1975-04-18 1976-07-20 Western Electric Co., Inc. Method for adhering one surface to another
US4474942A (en) 1982-06-28 1984-10-02 Takeda Chemical Industries, Ltd. Cross-linked polyesteramide from bis(2-oxazoline)
GB8320270D0 (en) 1983-07-27 1983-09-01 Raychem Ltd Polymer composition
DE3405540A1 (de) 1984-02-16 1985-08-22 Robert Bosch Gmbh, 7000 Stuttgart Drehzahlregler fuer kraftstoffeinspritzpumpen
US4558114A (en) 1985-01-23 1985-12-10 Ashland Oil, Inc. Polymers derived from polyisocyanates, bicyclic amide acetals and oxazolines
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4710542A (en) 1986-05-16 1987-12-01 American Cyanamid Company Alkylcarbamylmethylated amino-triazine crosslinking agents and curable compositions containing the same
US4855170A (en) 1986-08-21 1989-08-08 Minnesota Mining And Manufacturing Company Pressure-sensitive tape construction incorporating resilient polymeric microspheres
JPH0645436Y2 (ja) 1988-10-18 1994-11-24 ダイハツデイーゼル株式会社 舶用機関の操縦装置
NL8902683A (nl) 1989-10-31 1991-05-16 Stamicarbon Meerkomponentensysteem op basis van een oxazoline en een fosfor bevattende verbinding.
JPH0474794A (ja) 1990-07-12 1992-03-10 Mitsui Mining & Smelting Co Ltd 基板ホルダおよび基板の装着方法
US5043250A (en) 1990-07-17 1991-08-27 Eastman Kodak Company Radiation-sensitive composition containing a poly (N-acyl-alkyleneimine) and use thereof in lithographic printing plates
US5195729A (en) 1991-05-17 1993-03-23 National Semiconductor Corporation Wafer carrier
JPH0645436A (ja) 1992-07-22 1994-02-18 Nec Corp 半導体基板の貼付方法
JPH0697017A (ja) 1992-09-16 1994-04-08 Fujitsu Ltd 半導体装置の製造方法
JP3656254B2 (ja) 1994-02-28 2005-06-08 三菱住友シリコン株式会社 接着ウエーハの剥離方法及び剥離装置
US5654226A (en) 1994-09-07 1997-08-05 Harris Corporation Wafer bonding for power devices
US6342434B1 (en) 1995-12-04 2002-01-29 Hitachi, Ltd. Methods of processing semiconductor wafer, and producing IC card, and carrier
JPH09263500A (ja) 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd 貼り合わせsoiウェーハの剥がし治具
DE19628393A1 (de) 1996-07-13 1998-01-15 Bosch Gmbh Robert Vorrichtung zum Schutz des Rands eines Wafers vor einer ätzenden Flüssigkeit und Verfahren zur Montage der Vorrichtung
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
DE69728022T2 (de) 1996-12-18 2004-08-12 Canon K.K. Vefahren zum Herstellen eines Halbleiterartikels unter Verwendung eines Substrates mit einer porösen Halbleiterschicht
WO1998033861A1 (fr) 1997-01-30 1998-08-06 Mitsui Chemicals, Inc. Compositions adhesives thermofusibles
KR100536823B1 (ko) 1997-08-22 2005-12-16 큐빅 메모리, 인코포레이티드 열전도성 에폭시 예비성형체를 갖는 실리콘 세그먼트용 수직 상호접속 프로세스
US6110999A (en) 1998-03-06 2000-08-29 Denovus Llc Reusable adhesive composition and method of making the same
KR100304197B1 (ko) 1998-03-30 2001-11-30 윤종용 소이제조방법
KR100509059B1 (ko) 1998-09-12 2005-11-22 엘지전자 주식회사 플렉시블인쇄회로기판의제조방법및그방법으로생산한플렉시블인쇄회로기판
FR2783970B1 (fr) 1998-09-25 2000-11-03 Commissariat Energie Atomique Dispositif autorisant le traitement d'un substrat dans une machine prevue pour traiter de plus grands substrats et systeme de montage d'un substrat dans ce dispositif
FR2785217B1 (fr) 1998-10-30 2001-01-19 Soitec Silicon On Insulator Procede et dispositif pour separer en deux tranches une plaque de materiau notamment semi-conducteur
TW484184B (en) * 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
ATE389237T1 (de) 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
JP2000208252A (ja) 1999-01-14 2000-07-28 Tdk Corp 有機el素子
KR20000019536U (ko) 1999-04-14 2000-11-15 민병락 고무 풍선을 이용한 주먹볼
FR2796491B1 (fr) 1999-07-12 2001-08-31 Commissariat Energie Atomique Procede de decollement de deux elements et dispositif pour sa mise en oeuvre
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US20020137859A1 (en) 2000-04-13 2002-09-26 Kiyohiko Yokota Process for producing alpha-olefin/aromatic vinyl copolymer
JP3768069B2 (ja) * 2000-05-16 2006-04-19 信越半導体株式会社 半導体ウエーハの薄型化方法
KR20030042454A (ko) 2000-08-22 2003-05-28 제온 코포레이션 필름 적층 방법
JP2002237516A (ja) 2001-02-07 2002-08-23 Seiko Epson Corp ウェハ保護ケース
US20020115263A1 (en) 2001-02-16 2002-08-22 Worth Thomas Michael Method and related apparatus of processing a substrate
US6660330B2 (en) 2001-04-10 2003-12-09 International Business Machines Corporation Method for depositing metal films onto substrate surfaces utilizing a chamfered ring support
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
DE10121115A1 (de) 2001-04-28 2002-10-31 Leica Microsystems Haltevorrichtung für Wafer
US6543808B1 (en) 2001-07-05 2003-04-08 Translucent Technologies, Llc Direct thermal printable pull tabs
DE10137375A1 (de) 2001-07-31 2003-02-27 Infineon Technologies Ag Verwendung von Polybenzoxazolen (PBO) zum Kleben
EP1295926A1 (en) 2001-09-19 2003-03-26 ExxonMobil Chemical Patents Inc. Components for adhesive compositions and process for manufacture
JP3957506B2 (ja) 2001-12-26 2007-08-15 Necエレクトロニクス株式会社 基板表面保護シート貼り付け装置および貼り付け方法
US7186448B2 (en) 2002-05-13 2007-03-06 Jsr Corporation Composition and method for temporarily fixing solid
CN1639869B (zh) 2002-05-20 2010-05-26 三菱住友硅晶株式会社 粘合基片的制造方法、以及其中使用的晶片外周加压用夹具类
US7534498B2 (en) * 2002-06-03 2009-05-19 3M Innovative Properties Company Laminate body, method, and apparatus for manufacturing ultrathin substrate using the laminate body
JP4565804B2 (ja) 2002-06-03 2010-10-20 スリーエム イノベイティブ プロパティズ カンパニー 被研削基材を含む積層体、その製造方法並びに積層体を用いた極薄基材の製造方法及びそのための装置
JP4074794B2 (ja) 2002-08-30 2008-04-09 ソタジャパン有限会社 ゲルマニウム合金−シリカ複合体を用いた装身具
US7608336B2 (en) 2002-11-28 2009-10-27 Nippon Kayaku Kabushiki Kaisha Flame-retardant epoxy resin composition and cured product obtained therefrom
WO2004051708A2 (de) * 2002-11-29 2004-06-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und vorrichtung zum bearbeiten eines wafers sowie wafer mit trennschicht und trägerschicht
JP4593068B2 (ja) 2002-11-29 2010-12-08 古河電気工業株式会社 半導体ウエハー固定用粘着テープ
US7187162B2 (en) 2002-12-16 2007-03-06 S.O.I.Tec Silicon On Insulator Technologies S.A. Tools and methods for disuniting semiconductor wafers
US6869894B2 (en) 2002-12-20 2005-03-22 General Chemical Corporation Spin-on adhesive for temporary wafer coating and mounting to support wafer thinning and backside processing
JP4514409B2 (ja) 2003-02-20 2010-07-28 日東電工株式会社 半導体ウエハの仮固定方法及び電子部品、回路基板
JP4082242B2 (ja) 2003-03-06 2008-04-30 ソニー株式会社 素子転写方法
JP4171898B2 (ja) 2003-04-25 2008-10-29 信越化学工業株式会社 ダイシング・ダイボンド用接着テープ
DE10320375B3 (de) 2003-05-07 2004-12-16 Süss Micro Tec Laboratory Equipment GmbH Verfahren zum temporären Fixieren zweier flächiger Werksücke
AU2003235243A1 (en) 2003-05-13 2004-12-03 Mimasu Semiconductor Industry Co., Ltd. Wafer demounting method, wafer demounting device, and wafer demounting and transferring machine
JP4170839B2 (ja) 2003-07-11 2008-10-22 日東電工株式会社 積層シート
DE10334576B4 (de) 2003-07-28 2007-04-05 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements mit einem Kunststoffgehäuse
JP3912350B2 (ja) * 2003-09-22 2007-05-09 住友電気工業株式会社 半導体装置の製造方法
JP4462997B2 (ja) 2003-09-26 2010-05-12 株式会社ディスコ ウェーハの加工方法
JP4447280B2 (ja) * 2003-10-16 2010-04-07 リンテック株式会社 表面保護用シートおよび半導体ウエハの研削方法
US7084201B2 (en) 2003-11-14 2006-08-01 Wall-Guard Corporation Of Ohio Non-flammable waterproofing composition
KR20060126674A (ko) 2003-11-27 2006-12-08 제이에스알 가부시끼가이샤 핫멜트형 접착제 조성물
JP2005191550A (ja) * 2003-12-01 2005-07-14 Tokyo Ohka Kogyo Co Ltd 基板の貼り付け方法
JP2006135272A (ja) 2003-12-01 2006-05-25 Tokyo Ohka Kogyo Co Ltd 基板のサポートプレート及びサポートプレートの剥離方法
US20050150597A1 (en) 2004-01-09 2005-07-14 Silicon Genesis Corporation Apparatus and method for controlled cleaving
US7279063B2 (en) 2004-01-16 2007-10-09 Eastman Kodak Company Method of making an OLED display device with enhanced optical and mechanical properties
RU2273075C2 (ru) 2004-01-28 2006-03-27 Открытое акционерное общество "Научно-исследовательский институт полупроводникового машиностроения" (ОАО "НИИПМ") Устройство для обработки полупроводниковых пластин
KR100696287B1 (ko) 2004-01-28 2007-03-19 미쓰이 가가쿠 가부시키가이샤 반도체 웨이퍼의 보호방법
DE102004007060B3 (de) 2004-02-13 2005-07-07 Thallner, Erich, Dipl.-Ing. Vorrichtung und Verfahren zum Verbinden von Wafern
FR2866983B1 (fr) 2004-03-01 2006-05-26 Soitec Silicon On Insulator Realisation d'une entite en materiau semiconducteur sur substrat
JP2005268690A (ja) 2004-03-22 2005-09-29 Sumitomo Bakelite Co Ltd 多層回路基板の製造方法
US7226812B2 (en) 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
DE102004018249B3 (de) 2004-04-15 2006-03-16 Infineon Technologies Ag Verfahren zum Bearbeiten eines Werkstücks an einem Werkstückträger
US7825006B2 (en) * 2004-05-06 2010-11-02 Cree, Inc. Lift-off process for GaN films formed on SiC substrates and devices fabricated using the method
JP2006000361A (ja) * 2004-06-17 2006-01-05 Osada Res Inst Ltd 学習用歯ブラシ及び歯磨き学習装置
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
FR2878076B1 (fr) 2004-11-17 2007-02-23 St Microelectronics Sa Amincissement d'une plaquette semiconductrice
JP2006201332A (ja) 2005-01-19 2006-08-03 Nippon Zeon Co Ltd リフトオフ用レジスト除去剤組成物
JP4539368B2 (ja) 2005-02-24 2010-09-08 ソニー株式会社 表示装置の製造方法
KR101278460B1 (ko) 2005-03-01 2013-07-02 다우 코닝 코포레이션 반도체 가공을 위한 임시 웨이퍼 접착방법
JP4721828B2 (ja) 2005-08-31 2011-07-13 東京応化工業株式会社 サポートプレートの剥離方法
US7545042B2 (en) 2005-12-22 2009-06-09 Princo Corp. Structure combining an IC integrated substrate and a carrier, and method of manufacturing such structure
DE102006000687B4 (de) 2006-01-03 2010-09-09 Thallner, Erich, Dipl.-Ing. Kombination aus einem Träger und einem Wafer, Vorrichtung zum Trennen der Kombination und Verfahren zur Handhabung eines Trägers und eines Wafers
US8268449B2 (en) 2006-02-06 2012-09-18 Brewer Science Inc. Thermal- and chemical-resistant acid protection coating material and spin-on thermoplastic adhesive
JP4682883B2 (ja) 2006-03-10 2011-05-11 株式会社豊田自動織機 貼り合わせ基板の分断方法
JP5332120B2 (ja) 2006-03-15 2013-11-06 富士電機株式会社 半導体装置の製造方法
JP2007311683A (ja) * 2006-05-22 2007-11-29 Matsushita Electric Ind Co Ltd 貼り合せ方法及びその装置
US20070267972A1 (en) 2006-05-22 2007-11-22 Menegus Harry E Method for forming a temporary hermetic seal for an OLED display device
US20070274871A1 (en) 2006-05-23 2007-11-29 Genetix Limited Well plate
KR100992108B1 (ko) 2006-07-06 2010-11-04 레나 게엠베하 디스크 형상 기판의 펴짐, 분리 및 운반을 위한 장치 및 방법
JP2008021929A (ja) 2006-07-14 2008-01-31 Tokyo Ohka Kogyo Co Ltd サポートプレート、搬送装置、剥離装置及び剥離方法
JP5027460B2 (ja) * 2006-07-28 2012-09-19 東京応化工業株式会社 ウエハの接着方法、薄板化方法、及び剥離方法
JP4847255B2 (ja) * 2006-08-30 2011-12-28 株式会社テオス 半導体ウエーハの加工方法
JP2008060361A (ja) 2006-08-31 2008-03-13 Nitto Denko Corp 半導体ウェハの加工方法、及びそれに用いる半導体ウェハ加工用粘着シート
US7713835B2 (en) 2006-10-06 2010-05-11 Brewer Science Inc. Thermally decomposable spin-on bonding compositions for temporary wafer bonding
US20080200011A1 (en) * 2006-10-06 2008-08-21 Pillalamarri Sunil K High-temperature, spin-on, bonding compositions for temporary wafer bonding using sliding approach
US7795113B2 (en) 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
JP2008171934A (ja) 2007-01-10 2008-07-24 Lintec Corp 脆質部材の保護構造および脆質部材の処理方法
JP4125776B1 (ja) 2007-01-31 2008-07-30 信越エンジニアリング株式会社 粘着チャック装置
JP4729003B2 (ja) 2007-06-08 2011-07-20 リンテック株式会社 脆質部材の処理方法
WO2009003029A2 (en) 2007-06-25 2008-12-31 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
US9482951B2 (en) 2007-07-30 2016-11-01 Brewer Science Inc. Non-covalently crosslinkable materials for photolithography processes
JP2009154407A (ja) 2007-12-27 2009-07-16 Tdk Corp 剥離装置、剥離方法および情報記録媒体製造方法
JP2009168913A (ja) 2008-01-11 2009-07-30 Canon Inc 膜パターンの形成方法
PT2238618E (pt) 2008-01-24 2015-09-03 Brewer Science Inc Método para montagem reversível de uma bolacha de dispositivo num substrato de suporte
US9060419B2 (en) * 2008-02-08 2015-06-16 Carestream Health, Inc. Substrate formed on carrier having retaining features and resultant electronic device
US7859000B2 (en) * 2008-04-10 2010-12-28 Cree, Inc. LEDs using single crystalline phosphor and methods of fabricating same
US7727808B2 (en) 2008-06-13 2010-06-01 General Electric Company Ultra thin die electronic package
JP2010010207A (ja) 2008-06-24 2010-01-14 Tokyo Ohka Kogyo Co Ltd 剥離装置および剥離方法
JP5224111B2 (ja) 2008-08-29 2013-07-03 日立化成株式会社 半導体ウェハ加工用接着フィルム
JP5476046B2 (ja) 2008-10-03 2014-04-23 東京応化工業株式会社 剥離方法、基板の接着剤、および基板を含む積層体
US8092628B2 (en) 2008-10-31 2012-01-10 Brewer Science Inc. Cyclic olefin compositions for temporary wafer bonding
US7867876B2 (en) 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8866018B2 (en) 2009-01-12 2014-10-21 Oak-Mitsui Technologies Llc Passive electrical devices and methods of fabricating passive electrical devices
US7883991B1 (en) 2010-02-18 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Temporary carrier bonding and detaching processes
US8232117B2 (en) * 2010-04-30 2012-07-31 Koninklijke Philips Electronics N.V. LED wafer with laminated phosphor layer
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate

Also Published As

Publication number Publication date
US9472436B2 (en) 2016-10-18
WO2012057893A2 (en) 2012-05-03
KR101913522B1 (ko) 2018-10-30
CN104022016B (zh) 2018-04-27
EP2601676A2 (en) 2013-06-12
TW201440136A (zh) 2014-10-16
JP2014158035A (ja) 2014-08-28
US20120034437A1 (en) 2012-02-09
US9263314B2 (en) 2016-02-16
JP2013535838A (ja) 2013-09-12
EP2733734B1 (en) 2016-02-03
TWI505347B (zh) 2015-10-21
CN103155100B (zh) 2016-08-03
KR20140039330A (ko) 2014-04-01
US20150122426A1 (en) 2015-05-07
WO2012057893A3 (en) 2012-07-12
SG10201509693YA (en) 2015-12-30
SG2014014922A (en) 2014-07-30
TWI604520B (zh) 2017-11-01
JP6066105B2 (ja) 2017-01-25
CN103155100A (zh) 2013-06-12
EP2733734A3 (en) 2014-08-06
KR20130136975A (ko) 2013-12-13
US9224631B2 (en) 2015-12-29
KR101900517B1 (ko) 2018-11-02
SG10201506168WA (en) 2015-09-29
JP5972874B2 (ja) 2016-08-17
EP2996140A2 (en) 2016-03-16
EP2601676B1 (en) 2020-03-25
KR20170051530A (ko) 2017-05-11
US20140174627A1 (en) 2014-06-26
EP2601676A4 (en) 2014-08-06
JP2016106403A (ja) 2016-06-16
CN104022016A (zh) 2014-09-03
TW201216342A (en) 2012-04-16
US20140162034A2 (en) 2014-06-12
SG187739A1 (en) 2013-03-28
EP2996140A3 (en) 2016-06-08
US20140239453A1 (en) 2014-08-28
EP2733734A2 (en) 2014-05-21
JP2016225662A (ja) 2016-12-28

Similar Documents

Publication Publication Date Title
TWI505347B (zh) 用於薄晶圓處理之多重接合層
JP5111620B2 (ja) デバイスウェーハーをキャリヤー基板に逆に装着する方法
JP5111573B2 (ja) 逆に装着されたデバイスウェーハーをキャリヤー基板から分離する方法および装置
TWI698344B (zh) 用於暫時性晶片接合製程之環烯烴聚合物組成物及聚矽氧烷脫離層