TW201517173A - 半導體裝置的製造方法,基板處理裝置及記錄媒體 - Google Patents

半導體裝置的製造方法,基板處理裝置及記錄媒體 Download PDF

Info

Publication number
TW201517173A
TW201517173A TW103124130A TW103124130A TW201517173A TW 201517173 A TW201517173 A TW 201517173A TW 103124130 A TW103124130 A TW 103124130A TW 103124130 A TW103124130 A TW 103124130A TW 201517173 A TW201517173 A TW 201517173A
Authority
TW
Taiwan
Prior art keywords
gas
processing
supplying
film
processing chamber
Prior art date
Application number
TW103124130A
Other languages
English (en)
Other versions
TWI555089B (zh
Inventor
Yoshitomo Hashimoto
Yoshiro Hirose
Tatsuru Matsuoka
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201517173A publication Critical patent/TW201517173A/zh
Application granted granted Critical
Publication of TWI555089B publication Critical patent/TWI555089B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明的課題是在於形成含預定元素,碳及氮的膜時,提高膜中的組成的控制性。 其解決手段是具有:在進行預定次數循環之下,在基板上形成含預定元素,碳及氮的膜之工程,該循環係包含:對基板供給含預定元素及鹵元素的第1處理氣體之工程;對基板供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對基板供給含碳的第3處理氣體之工程。

Description

半導體裝置的製造方法,基板處理裝置及記錄媒體
此發明是有關包含在基板上形成薄膜的工程之半導體裝置的製造方法,基板處理裝置及記錄媒體。
在半導體裝置(device)的製造工程之中,有在矽晶圓等的基板上形成矽氮化膜(SiN膜)等的矽系絕緣膜,亦即含作為預定元素的矽的絕緣膜之工程。SiN膜是絕緣性,耐蝕性,介電性,膜應力控制性等佳,廣泛作為絕緣膜或遮罩膜,電荷蓄積膜,應力控制膜使用。並且,以蝕刻耐性的提升為目的,在此絕緣膜中添加碳(C),形成矽碳氮化膜(SiCN膜)的技術也為人所知。
然而,難以高濃度添加C至SiN膜等的膜中。本發明的目的是在於提供一種在形成含預定元素,碳及氮的膜時,可提高膜中的組成的控制性之技術。
若根據本發明之一形態,則可提供一種半導體裝置的製造方法,其係具有:在進行預定次數循環之下,在基板上形成含預定元素,碳及氮的膜之工程,該循環係包含:對基板供給含預定元素及鹵元素的第1處理氣體之工程;對前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對前述基板供給含碳的第3處理氣體之工程。
若根據本發明的其他形態,則可一種基板處理裝置,其係具有:處理室,其係收容基板;第1氣體供給系,其係供給含預定元素及鹵元素的第1處理氣體至前述處理室內;第2氣體供給系,其係供給以碳,氮及氫的3元素所構成的第2處理氣體至前述處理室內;第3氣體供給系,其係供給含碳的第3處理氣體至前述處理室內;及控制部,其係構成控制前述第1氣體供給系,前述第2氣體供給系及前述第3氣體供給系,而使能夠在進行預定次數循環之下,進行在前述基板上形成含前述預定元素,碳及氮的膜之處理,該循環係包含: 對前述處理室內的基板供給前述第1處理氣體之處理;對前述處理室內的前述基板供給前述第2處理氣體之處理;及對前述處理室內的前述基板供給前述第3處理氣體之處理。
若根據本發明的其他形態,則可一種電腦可讀取的記錄媒體,係記錄有使程序實行於電腦的程式,該程序係於進行預定次數循環之下,在前述基板上形成含前述預定元素,碳及氮的膜,該循環係包含:對處理室內的基板供給含預定元素及鹵元素的第1處理氣體之程序;對前述處理室內的前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之程序;及對前述處理室內的前述基板供給含碳的第3處理氣體之程序。
若根據本發明,則在形成含預定元素,碳及氮的膜時,可提高膜中的組成的控制性。
121‧‧‧控制器(控制部)
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
231‧‧‧排氣管
232a‧‧‧氣體供給管
232b‧‧‧氣體供給管
232c‧‧‧氣體供給管
圖1是適用在本發明的實施形態的基板處理裝置的縱型處理爐的概略構成圖,以縱剖面圖來表示處理爐部分的圖。
圖2是適用在本發明的實施形態的基板處理裝置的縱型處理爐的概略構成圖,以圖1的A-A線剖面圖來表示處理爐部分的圖。
圖3是適用在本發明的實施形態的基板處理裝置的控制器的概略構成圖,以方塊圖來表示控制器的控制系的圖。
圖4是表示本發明之一實施形態的成膜流程的圖。
圖5是表示本發明之一實施形態的成膜順序的氣體供給的時機及其變形例的圖。
圖6是表示實施例的SiCN膜的膜厚分布,面內平均膜厚,晶圓面內的膜厚均一性,折射率的測定結果的圖。
圖7是表示實施例的SiCN膜的RMS粗糙度的測定結果的圖。
圖8是表示實施例的SiCN膜的XPS測定結果的圖。
圖9是表示實施例的SiCN膜的氟化程氫耐性的測定結果的圖。
圖10是表示本發明的其他實施形態的成膜順序的氣體供給的時機及其變形例的圖。
<本發明的一實施形態>
以下,利用圖1~圖3來說明有關本發明之一實施形態。
(1)基板處理裝置的構成
如圖1所示般,處理爐202是具有作為加熱手段(加熱機構)的加熱器207。加熱器207是圓筒形狀,藉由被作為保持板的加熱器基座(未圖示)所支撐來垂直安裝。加熱器207是如後述般亦作為以熱來使氣體活化(激發)的活化機構(激發部)之機能。
在加熱器207的內側,與加熱器207同心圓狀地配設有構成反應容器(處理容器)的反應管203。反應管203是例如由石英(SiO2)或碳化矽(SiC)等的耐熱性材料所構成,形成上端為閉塞,下端為開口的圓筒形狀。在反應管203的筒中空部形成處理室201,構成可藉由後述的晶舟217來將作為基板的晶圓200予以水平姿勢多段排列於垂直方向的狀態下收容。
在處理室201內,噴嘴249a~249c會被設成貫通反應管203的下部。噴嘴249a~249c是分別連接氣體供給管232a~232c。如此,在反應管203設有3個的噴嘴249a~249c,及3根的氣體供給管232a~232c,構成可朝處理室201內供給複數種類,在此是3種類的氣體。
但,本實施形態的處理爐202是不限於上述的形態。例如,亦可在反應管203的下方設置支撐反應管 203的金屬製的集流腔,將各噴嘴設成貫通集流腔的側壁。此情況,亦可在集流腔中更設後述的排氣管231。此情況亦可不在集流腔,而是在反應管203的下部設置排氣管231。如此,亦可將處理爐202的爐口部設為金屬製,在此金屬製的爐口部安裝噴嘴等。
在氣體供給管232a~232c中,從上游方向依序分別設有流量控制器(流量控制部)的質量流控制器(MFC)241a~241c及開閉閥的閥243a~243c。在比氣體供給管232a~232c的閥243a~243c更下游側分別連接有供給惰性氣體的氣體供給管232d~232f。在氣體供給管232d~232f中,從上游方向依序分別設有流量控制器(流量控制部)的MFC241d~241f及開閉閥的閥243d~243f。
在氣體供給管232a~232c的前端部是分別連接有上述的噴嘴249a~249c。噴嘴249a~249c是如圖2所示般,在反應管203的內壁與晶圓200之間的圓環狀的空間中,分別設成由反應管203的內壁的下部往上部延伸,朝晶圓200的積載方向上方升起。亦即,噴嘴249a~249c是在晶圓200所被配列的晶圓配列領域的側方之水平包圍晶圓配列領域的領域中,分別設成沿著晶圓配列領域。噴嘴249a~249c是分別構成為L字型的長噴嘴,該等的各水平部是設成貫通反應管203的下部側壁,該等的各垂直部是設成至少從晶圓配列領域的一端側往另一端側升起。在噴嘴249a~249c的側面是分別設有供給氣體的氣體供給孔250a~250c。氣體供給孔250a~250c是分別開口成朝向反 應管203的中心,可朝晶圓200供給氣體。氣體供給孔250a~250c是從反應管203的下部至上部設置複數個,分別具有同一開口面積,且以同開口間距設置。
如此,本實施形態是經由配置在以反應管203的內壁及被積載的複數的晶圓200的端部(外周)所定義的圓環狀的縱長延伸的空間內,亦即圓筒狀的空間內的噴嘴249a~249c來搬送氣體。而且,從分別開口於噴嘴249a~249c的氣體供給孔250a~250c來使氣體在晶圓200的附近初次噴出至反應管203內。而且,將反應管203內的氣體的主流設為與晶圓200的表面平行的方向,亦即水平方向。藉由設為如此的構成,可均一地供給氣體至各晶圓200,可使形成於各晶圓200的薄膜的膜厚均一。流動於晶圓200的表面上的氣體亦即反應後的殘留氣體是朝排氣口亦即後述的排氣管231的方向流動。但,此殘留氣體的流向是依排氣口的位置而適當地特定,不限於垂直方向。
從氣體供給管232a是作為含預定元素及鹵元素的第1處理氣體,例如含作為預定元素的矽(Si)及作為鹵元素的氯(Cl)之氯矽烷系原料氣體會經由MFC241a,閥243a,噴嘴249a來供給至處理室201內。
所謂氯矽烷系原料氣體是氣體狀態的氯矽烷系原料,例如在常溫常壓下將液體狀態的氯矽烷系原料氣化而取得的氣體,或常溫常壓下氣體狀態的氯矽烷系原料等。所謂氯矽烷系原料是具有作為鹵基的氯基的矽烷系原 料,至少含Si及Cl的原料。氯矽烷系原料是可謂鹵化物的一種。在本說明書中稱「原料」時,有意味「液體狀態的液體原料」時,意味「氣體狀態的原料氣體」時,或其雙方時。因此,在本說明書中稱「氯矽烷系原料」時,有意味「液體狀態的氯矽烷系原料」時,意味「氣體狀態的氯矽烷系原料氣體」時,或意味其雙方時。作為氯矽烷系原料,例如可使用六氯二矽烷(Si2Cl6,簡稱:HCDS)。像HCDS那樣在常溫常壓下使用液體狀態的液體原料時,藉由氣化器或氣泡器等的氣化系統來氣化液體原料,作為第1處理氣體(HCDS氣體)供給。
從氣體供給管232b是作為以碳(C),氮(N)及氫(H)的3元素所構成的第2處理氣體,例如,含胺的氣體,亦即,胺系氣體會經由MFC241b,閥243b,噴嘴249b來供給至處理室201內。
所謂胺系氣體是氣體狀態的胺,例如在常溫常壓下將液體狀態的胺氣化而取得的氣體,或在常溫常壓下含氣體狀態的胺等的胺基之氣體。胺系氣體是包含乙胺,甲胺,丙胺,異丙胺,丁胺,異丁胺等的胺。所謂胺是以烷基等的碳化氫基來置換氨(NH3)的H原子之形式的化合物的總稱。胺是含烷基等的碳化氫基,作為含C原子的配合基。胺系氣體是含C,N及H的3元素,由於未含Si,因此亦可謂非含Si的氣體,而且,因為未含Si及金屬,所以亦可謂非含Si及金屬的氣體。又,胺系氣體亦為含氮氣體(氮來源),含碳氣體(碳來源),含氫氣體。胺 系氣體是亦可謂只以C,N及H的3元素所構成的物質。在本說明書中稱「胺」時,有意味「液體狀態的胺」時,「氣體狀態的胺系氣體」時,或意味其雙方時。作為胺系氣體是可使用例如其組成式中(化學構造式中,1分子中)含C原子的配合基(乙基)的數量為3,其組成式中C原子的數量要比N原子的數量更多的三乙胺((C2H5)3N,簡稱:TEA)氣體。在使用TEA那樣常溫常壓下液體狀態的胺時,藉由氣化器或氣泡器等的氣化系統來將液體狀態的胺氣化,而作為第2處理氣體(TEA氣體)供給。
從氣體供給管232c是作為含碳(C)的第3處理氣體,例如,碳化氫系氣體會經由MFC241c,閥243c,噴嘴249c來供給至處理室201內。碳化氫系氣體是含碳氣體(碳來源),亦為含氫氣體。碳化氫系氣體是亦可謂只以C及H的2元素所構成的物質。例如可使用丙烯(C3H6)氣體,作為碳化氫系氣體。
從氣體供給管232d~232f是惰性氣體例如氮(N2)氣體會分別經由MFC241d~241f,閥243d~243f,氣體供給管232a~232c,噴嘴249a~249c來朝處理室201內供給。
從各氣體供給管分別流動上述那樣的氣體時,主要藉由氣體供給管232a,MFC241a,閥243a來構成供給含預定元素及鹵元素的第1處理氣體之第1氣體供給系,亦即,作為第1處理氣體供給系的氯矽烷系原料氣體供給系。亦可思考將噴嘴249a含在氯矽烷系原料氣體 供給系中。亦可將氯矽烷系原料氣體供給系稱為氯矽烷系原料供給系。
又,主要藉由氣體供給管232b,MFC241b,閥243b來構成供給以C,N及H的3元素所構成的第2處理氣體之第2氣體供給系,亦即,作為第2處理氣體供給系的胺系氣體供給系。亦可思考將噴嘴249b含在胺系氣體供給系中。亦可將胺系氣體供給系稱為胺供給系。
又,主要藉由氣體供給管232c,MFC241c,閥243c來構成供給含C的第3處理氣體之第3氣體供給系,亦即,作為第3處理氣體供給系的碳化氫系氣體供給系。亦可思考將噴嘴249c含在碳化氫系氣體供給系中。亦可將碳化氫系氣體供給系稱為碳化氫供給系。
在反應管203中設有將處理室201內的環境排氣的排氣管231。如圖2所示般,橫剖面視,排氣管231是設在與設有反應管203的噴嘴249a的氣體供給孔250a,噴嘴249b的氣體供給孔250b,及噴嘴249c的氣體供給孔250c的側對向的側,亦即之間夾著晶圓200而與氣體供給孔250a~250c相反的側。並且,如圖1所示般,縱剖面視,排氣管231是設在比設有氣體供給孔250a~250c之處更下方。藉由此構成,從氣體供給孔250a~250c供給至處理室201內的晶圓200的附近之氣體是朝水平方向,亦即與晶圓200的表面平行的方向流動後,往下方流動,由排氣管231排氣。處理室201內的氣體的主流之所以朝水平方向流動是如上述般。
排氣管231是經由作為檢測出處理室201內的壓力的壓力檢測器(壓力檢測部)之壓力感測器245及作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller)閥244來連接作為真空排氣裝置的真空泵246。APC閥244是在使真空泵246作動的狀態下開閉閥,藉此可進行處理室201內的真空排氣及真空排氣停止,而且在使真空泵246作動的狀態下,根據藉由壓力感測器245所檢測出的壓力資訊來調節閥開度,藉此構成可調節處理室201內的壓力之閥。主要藉由排氣管231,APC閥244,壓力感測器245來構成排氣系。亦可思考將真空泵246含在排氣系中。
在反應管203的下方設有作為可氣密地閉塞反應管203的下端開口的爐口蓋體之密封蓋219。密封蓋219是構成可由垂直方向下側來抵接於反應管203的下端。密封蓋219是例如由不鏽鋼(SUS)等的金屬所構成,形成圓盤狀。在密封蓋219的上面設有作為與反應管203的下端抵接的密封構件之O型環220。在密封蓋219之與處理室201相反的側設置有使後述的晶舟217旋轉的旋轉機構267。旋轉機構267的旋轉軸255是貫通密封蓋219而連接至晶舟217。旋轉機構267是構成藉由使晶舟217旋轉而令晶圓200旋轉。密封蓋219是構成藉由作為垂直設置於反應管203的外部的昇降機構之晶舟昇降機115來昇降於垂直方向。晶舟昇降機115是構成藉由使密封蓋219昇降而可將晶舟217搬入及搬出於處理室201內外。 晶舟昇降機115是構成為將晶舟217亦即晶圓200搬送至處理室201內外的搬送裝置(搬送機構)。
作為基板支撐具的晶舟217是構成可使複數例如100~200片的晶圓200以水平姿勢且彼此中心一致的狀態下排列於垂直方向而多段支撐,亦即取間隔配列。晶舟217是例如由石英或SiC等的耐熱性材料所構成。在晶舟217的下部,例如由石英或SiC等的耐熱性材料所構成的隔熱板218是以水平姿勢多段支撐,構成來自加熱器207的熱不易傳至密封蓋219側。但,本實施形態是不限於如此的形態。例如,亦可在晶舟217的下部不設隔熱板218而設隔熱筒,其係構成為由石英或SiC等的耐熱性材料所構成的筒狀的構件。
在反應管203內設置有作為溫度檢測器的溫度感測器263。根據藉由溫度感測器263所檢測出的溫度資訊,在調整往加熱器207的通電情況之下,構成處理室201內的溫度會成為所望的溫度分布。溫度感測器263是與噴嘴249a~249c同樣構成L字型,沿著反應管203的內壁設置。
如圖3所示般,控制部(控制手段)的控制器121是構成為具備CPU(Central Processing Unit)121a,RAM(Random Access Memory)121b,記憶裝置121c,I/O埠121d的電腦。RAM121b,記憶裝置121c,I/O埠121d是構成可經由內部匯流排121e來與CPU121a交換資料。控制器121是連接例如構成為觸控面板等的輸出入裝置 122。
記憶裝置121c是例如以快閃記憶體,HDD(Hard Disk Drive)等所構成。在記憶裝置121c內,控制基板處理裝置的動作之控制程式,或記載有後述的基板處理的程序或條件等的製程處方等是可讀出地被儲存。製程處方是使後述的基板處理工程的各程序實行於控制器121,組合成可取得預定的結果,作為程式機能。以下,亦將此製程處方或控制程式等總稱而簡稱為程式。在本說明書中稱為程式時,有只包含製程處方單體時,只包含控制程式單體時,或包含其雙方時。RAM121b是構成為暫時性地保持藉由CPU121a所讀出的程式或資料等的記憶領域(工作區域)。
I/O埠121d是連接至上述的MFC241a~241f,閥243a~243f,壓力感測器245,APC閥244,真空泵246,加熱器207,溫度感測器263,旋轉機構267,晶舟昇降機115等。
CPU121a是構成從記憶裝置121c讀出控制程式來實行,且按照來自輸出入裝置122的操作指令的輸入等從記憶裝置121c讀出製程處方。CPU121a是以能夠按照讀出的製程處方的內容之方式,構成控制MFC241a~241f之各種氣體的流量調整動作,閥243a~243f的開閉動作,APC閥244的開閉動作及根據壓力感測器245的APC閥244之壓力調整動作,根據溫度感測器263的加熱器207的溫度調整動作,真空泵246的起動及停 止,旋轉機構267之晶舟217的旋轉及旋轉速度調節動作,晶舟昇降機115之晶舟217的昇降動作等。
控制器121是不限於構成為專用的電腦時,亦可構成為泛用的電腦。例如,準備儲存上述程式的外部記憶裝置(例如,磁帶,軟碟或硬碟等的磁碟,CD或DVD等的光碟,MO等的光磁碟,USB記憶體或記憶卡等的半導體記憶體)123,利用該外部記憶裝置123來將程式安裝於泛用的電腦,藉此可構成本實施形態的控制器121。但,用以對電腦供給程式的手段是不限於經由外部記憶裝置123來供給的情況。例如,亦可利用網際網路或專線等的通訊手段,不經由外部記憶裝置123來供給程式。記憶裝置121c或外部記憶裝置123是構成為電腦可讀取的記錄媒體。以下,亦將該等總稱而簡稱為記錄媒體。在本說明書中稱記錄媒體時,有只包含記憶裝置121c單體時,只包含外部記憶裝置123單體時,或包含其雙方時。
(2)基板處理工程
其次,利用上述的基板處理裝置的處理爐202來說明有關在晶圓200上形成膜的例子,作為半導體裝置(device)的製造工程之一工程。另外,在以下的說明中,構成基板處理裝置的各部的動作是藉由控制器121來控制。
本實施形態是在進行預定次數(1次以上)循環之下,在晶圓200上形成含預定元素,碳及氮的膜, 該循環是包含:對晶圓200供給含預定元素及鹵元素的第1處理氣體之工程;對晶圓200供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對晶圓200供給含碳的第3處理氣體之工程。
並且,本實施形態是在此循環中,至少在第2處理氣體的供給期間進行供給第3處理氣體的工程。
以下,利用圖4,圖5來具體說明本實施形態的成膜順序。
在此,說明有關在進行預定次數(n次)循環之下,在晶圓200上形成預定組成及預定膜厚的Si系絕緣膜之矽碳氮化膜(SiCN膜),作為至少含Si,C及N的膜之例,該循環是包含:對晶圓200供給氯矽烷系原料氣體的HCDS氣體作為第1處理氣體之工程;對晶圓200供給胺系氣體的TEA氣體作為第2處理氣體之工程;及對晶圓200供給碳化氫系氣體的C3H6氣體作為第3處理氣體之工程。
並且,在此是說明有關與供給TEA氣體的工程同時進行供給C3H6氣體的工程之例,亦即,在TEA氣體的供給期間進行供給C3H6氣體的工程,在TEA氣體的供給停 止期間不進行之例。
在本說明書中稱「晶圓」時,有意味「晶圓本身」時,或意味「晶圓及形成於其表面的預定的層或膜等的層疊體(集合體)」時,亦即包含形成於表面的預定的層或膜等來稱晶圓時。並且,在本說明書中稱「晶圓的表面」時,有意味「晶圓本身的表面(露出面)」時,或意味「形成於晶圓上的預定的層或膜等的表面,亦即,作為層疊體的晶圓的最表面」時。
因此,在本說明書中記載為「對晶圓供給預定的氣體」時,有意味「對晶圓本身的表面(露出面)直接供給預定的氣體」時,或「對形成於晶圓上的層或膜等,亦即作為層疊體的晶圓的最表面供給預定的氣體」時。並且,在本說明書中記載為「在晶圓上形成預定的層(或膜)」時,有意味「在晶圓本身的表面(露出面)上直接形成預定的層(或膜)」時,或「在形成於晶圓上的層或膜等上,亦即作為層疊體的晶圓的最表面上形成預定的層(或膜)」時。
在本說明書中稱「基板」時也是與稱「晶圓」時同樣,在上述說明中,只要將「晶圓」置換成「基板」來思考即可。
(晶圓裝填及晶舟裝載)
一旦複數的晶圓200被裝填於晶舟217(晶圓裝填),則如圖1所示般,支撐複數的晶圓200的晶舟217是藉由 晶舟昇降機115舉起而搬入至處理室201內(晶舟裝載)。在此狀態下,密封蓋219是成為隔著O型環220來密封反應管203的下端之狀態。
(壓力調整及溫度調整)
藉由真空泵246來真空排氣,而使處理室201內的壓力,亦即晶圓200所存在的空間的壓力能夠成為所望的壓力(真空度)。此時,處理室201內的壓力是以壓力感測器245來測定,APC閥244會根據此被測定的壓力資訊來反餽控制(壓力調整)。真空泵246是至少對於晶圓200的處理終了為止的期間維持使常時作動的狀態。並且,藉由加熱器207加熱,而使處理室201內的晶圓200能夠成為所望的溫度。此時,往加熱器207的通電情況會根據溫度感測器263所檢測出的溫度資訊來反餽控制(溫度調整),而使處理室201內能夠成為所望的溫度分布。加熱器207之處理室201內的加熱是至少對於晶圓200的處理終了為止的期間繼續進行。接著,藉由旋轉機構267開始晶舟217及晶圓200的旋轉。旋轉機構267之晶舟217及晶圓200的旋轉是至少對於晶圓200的處理完了為止的期間繼續進行。
(SiCN膜形成工程)
然後,依序實行其次的2個步驟,亦即步驟1,2。
[步驟1]
(HCDS氣體供給)
開啟閥243a,在氣體供給管232a內流動HCDS氣體。HCDS氣體是藉由MFC241a來調整流量,從氣體供給孔250a往處理室201內供給,從排氣管231排氣。此時,對於晶圓200供給HCDS氣體。此時同時開啟閥243d,在氣體供給管232d內流動N2氣體。N2氣體是藉由MFC241d來調整流量,與HCDS氣體一起往處理室201內供給,從排氣管231排氣。
此時,為了防止HCDS氣體侵入至噴嘴249b,249c內,而開啟閥243e,243f,在氣體供給管232e,232f內流動N2氣體。N2氣體是經由氣體供給管232b,232c,噴嘴249b,249c來供給至處理室201內,從排氣管231排氣。
此時,適當調整APC閥244,將處理室201內的壓力例如設為1~13300Pa,較理想是20~1330Pa的範圍內的壓力。以MFC241a所控制的HCDS氣體的供給流量是例如設為1~1000sccm的範圍內的流量。以MFC241d~241f所控制的N2氣體的供給流量是分別例如設為100~10000sccm的範圍內的流量。對晶圓200供給HCDS氣體的時間,亦即,氣體供給時間(照射時間)是例如設為1~120秒,較理想是1~60秒的範圍內的時間。此時加熱器207的溫度是設定成晶圓200的溫度會例如成為250~700℃,較理想是300~650℃,更理想是350~600℃的 範圍內的溫度之類的溫度。
若晶圓200的溫度未滿250℃,則HCDS難化學吸附於晶圓200上,會有無法取得實用性的成膜速度的情形。藉由將晶圓200的溫度設為250℃以上,可解除此情形。藉由將晶圓200的溫度設為300℃以上,甚至350℃以上,可使HCDS更充分地吸附於晶圓200上,可取得充分的成膜速度。
一旦晶圓200的溫度超過700℃,則CVD反應會變強(氣相反應形成支配性),藉此膜厚均一性會惡化,其控制變困難。藉由將晶圓200的溫度設為700℃以下,可抑制膜厚均一性的惡化,其控制成為可能。特別是將晶圓200的溫度設為650℃以下,甚至600℃以下,表面反應會形成支配性,容易確保膜厚均一性,其控制變容易。
因此,晶圓200的溫度是250~700℃,較理想是300~650℃,更理想是350~600℃的範圍內的溫度。
在上述的條件下對晶圓200供給HCDS氣體,藉此在晶圓200(表面的底層膜)上形成例如未滿1原子層~數原子層程度的厚度之含Cl的含Si層,作為含Si及Cl的初期層。含Cl的含Si層是亦可為含Cl的Si層,或亦可為HCDS氣體的吸附層,或亦可含其雙方。
所謂含Cl的Si層是除了藉由Si所構成含Cl的連續性的層以外,也包含不連續的層,或該等重疊而成之含Cl的Si薄膜的總稱。也有時將藉由Si所構成含Cl 的連續性的層稱為含Cl的Si薄膜。構成Cl的Si層之Si是除了與Cl的結合未完全被切斷者以外,也包含與Cl的結合完全被切斷者。
HCDS氣體的吸附層是除了HCDS氣體的氣體分子的連續性的吸附層以外,也包含不連續的吸附層。亦即,HCDS氣體的吸附層是包含以HCDS分子所構成的1分子層或未滿1分子層的厚度的吸附層。構成HCDS氣體的吸附層的HCDS分子也包含Si與Cl的結合一部分被切斷者。亦即,HCDS氣體的吸附層是可為HCDS氣體的物理吸附層,或HCDS氣體的化學吸附層,或包含其雙方。
在此,所謂未滿1原子層的厚度的層是意味形成不連續的原子層,所謂1原子層的厚度的層是意味連續性形成的原子層。未滿1分子層的厚度的層是意味形成不連續的分子層,所謂1分子層的厚度的層是意味連續性形成的分子層。
在HCDS氣體自我分解(熱分解)的條件下,亦即,產生HCDS氣體的熱分解反應的條件下,Si堆積於晶圓200上,而形成含Cl的Si層。在HCDS氣體不自我分解(熱分解)的條件下,亦即,不產生HCDS氣體的熱分解反應的條件下,HCDS氣體吸附於晶圓200上,而形成HCDS氣體的吸附層。在晶圓200上形成含Cl的Si層要比形成HCDS氣體的吸附層更能提高成膜速率,較為理想。
一旦形成於晶圓200上之含Cl的含Si層的厚 度超過數原子層,則後述的步驟2的改質的作用不會到達含Cl的含Si層的全體。並且,可形成於晶圓200上之含Cl的含Si層的厚度的最小值是未滿1原子層。因此,含Cl的含Si層的厚度是設為未滿1原子層~數原子層程度為理想。並且,藉由將含Cl的含Si層的厚度設為1原子層以下,亦即1原子層或未滿1原子層,可相對性地提高後述的步驟2的改質反應的作用,可縮短步驟2的改質反應所要的時間。亦可縮短步驟1之含Cl的含Si層形成所要的時間。結果,可縮短每1循環的處理時間,亦可縮短總計的處理時間。亦即,亦可提高成膜速率。並且,藉由將含Cl的含Si層的厚度設為1原子層以下,亦可提高膜厚均一性的控制性。
(殘留氣體除去)
形成作為初期層之含Cl的含Si層之後,關閉閥243a,停止HCDS氣體的供給。此時,排氣管231的APC閥244是原封不動開啟,藉由真空泵246來將處理室201內真空排氣,從處理室201內排除殘留於處理室201內之未反應或對於初期層的形成貢獻後的HCDS氣體。此時,閥243d~243f是原封不動開啟,維持N2氣體往處理室201內的供給。N2氣體是作為淨化氣體作用,藉此可提高從處理室201內排除殘留於處理室201內之未反應或對於初期層的形成貢獻後的HCDS氣體之效果。
此時,亦可不完全排除殘留於處理室201內 的氣體,或亦可不完全淨化處理室201內。只要殘留於處理室201內的氣體為微量,便不會有在之後進行的步驟2中產生不良影響的情形。此時,往處理室201內供給之N2氣體的流量也不需要設為大流量,例如,藉由供給與反應管203(處理室201)的容積同程度的量,可在步驟2中進行不會產生不良影響的程度的淨化。藉由如此不完全淨化處理室201內,可縮短淨化時間,使處理能力提升。並且,N2氣體的消耗也可壓到必要最小限度。
氯矽烷系原料氣體是除了HCDS氣體以外,例如亦可使用四氯矽烷亦即矽四氯化物(SiCl4,簡稱:STC)氣體,三氯矽烷(SiHCl3,簡稱:TCS)氣體,二氯矽烷(SiH2Cl2,簡稱:DCS)氣體,一氯矽烷(SiH3Cl,簡稱:MCS)氣體等的無機原料氣體。
惰性氣體是除了N2氣體以外,亦可例如使用Ar氣體,He氣體,Ne氣體,Xe氣體等的稀有氣體。
[步驟2]
(TEA氣體及C3H6氣體供給)
步驟1終了,除去處理室201內的殘留氣體之後,開啟閥243b,在氣體供給管232b內流動TEA氣體。TEA氣體是藉由MFC241b來調整流量,從氣體供給孔250b往處理室201內供給。此時同時開啟閥243e,在氣體供給管232e內流動N2氣體。N2氣體是藉由MFC241e來調整流量,與TEA氣體一起往處理室201內供給。
此時同時開啟閥243c,在氣體供給管232c內流動C3H6氣體。C3H6氣體是藉由MFC241c來調整流量,從氣體供給孔250c往處理室201內供給。此時同時開啟閥243f,在氣體供給管232f內流動N2氣體。N2氣體是藉由MFC241f來調整流量,與C3H6氣體一起往處理室201內供給。
往處理室201內供給的TEA氣體及C3H6氣體是分別以熱來活化(激發),與從氣體供給管232e,232f供給的N2氣體一起從排氣管231排氣。此時,對於晶圓200,以熱活化的TEA氣體及以熱活化的C3H6氣體會同時供給。
此時,為了防止TEA氣體及C3H6氣體往噴嘴249a內侵入,而開啟閥243d,在氣體供給管232d內流動N2氣體。N2氣體是經由氣體供給管232a,噴嘴249a往處理室201內供給,從排氣管231排氣。
此時,適當地調整APC閥244,將處理室201內的壓力例如設為1~13300Pa,較理想是399~3990Pa的範圍內的壓力。在將處理室201內的壓力設為如此比較高的壓力帶之下,可使TEA氣體及C3H6氣體以無電漿熱性地活化。在使TEA氣體及C3H6氣體以熱活化而供給之下,可使軟性的反應產生,可軟性進行後述的改質。以MFC241b來控制的TEA氣體的供給流量是例如設為100~2000sccm的範圍內的流量。以MFC241c來控制的C3H6氣體的供給流量是例如設為100~10000sccm的範圍 內的流量。以MFC241d~241f來控制的N2氣體的供給流量是分別例如設為100~10000sccm的範圍內的流量。此時,處理室201內之TEA氣體的分壓是例如設為0.01~12667Pa的範圍內的壓力。並且,此時,處理室201內之C3H6氣體的分壓是例如設為0.01~13168Pa的範圍內的壓力。對於晶圓200供給以熱來使活化的TEA氣體及以熱來使活化的C3H6氣體之時間,亦即,氣體供給時間(照射時間)是例如設為1~120秒,較理想是1~60秒的範圍內的時間。此時的加熱器207的溫度是與步驟1同樣,晶圓200的溫度設定成例如250~700℃,較理想是300~650℃,更理想是350~600℃的範圍內的溫度之類的溫度。
在上述的條件下對於晶圓200供給TEA氣體,藉此在步驟1可使形成於晶圓200上作為初期層之含Cl的含Si層與TEA氣體反應。亦即,可使在作為初期層之含Cl的含Si層中所含的鹵元素(鹵基)的Cl(氯基)與TEA氣體中所含的配合基(乙基)反應。藉此,可使初期層中所含的Cl的其中至少一部分的Cl從初期層抽出(使分離),且可使TEA氣體中所含的複數的乙基的其中至少一部分的乙基從TEA氣體分離。然後,可使至少一部分的乙基分離的TEA氣體的N與初期層中所含的Si結合。亦即,可使構成TEA氣體的N至少一部分的乙基脫離具有懸空鍵(dangling bond)的N與初期層中所含具有懸空鍵的Si,或,具有懸空鍵的Si結合,而形成Si-N結合。並 且,此時,亦可使從TEA氣體分離的乙基(-CH2CH3)中所含的C與初期層中所含的Si結合,而形成Si-C結合。該等的結果,Cl會從初期層中脫離,且在初期層中新取入N成分。並且,此時,在初期層中,C成分也被新取入。
並且,將對於晶圓200供給C3H6氣體的工程與對於晶圓200供給TEA氣體的工程同時進行,亦即,至少在TEA氣體的供給期間進行供給C3H6氣體的工程,藉此在初期層中,C3H6氣體中所含的C成分也會被新取入。亦即,藉由對於晶圓200供給C3H6氣體,C3H6氣體會吸附於初期層的表面,此時,在初期層中,C3H6氣體中所含的C成分也被新取入。此時,例如,亦可使C3H6氣體中所含的C與初期層中所含的Si結合,而形成Si-C結合。
在上述的條件下供給TEA氣體及C3H6氣體之下,可使作為初期層之含Cl的含Si層與TEA氣體及C3H6氣體適當地反應,可使上述的一連串的反應產生。然後,藉由此一連串的反應,Cl從初期層中脫離,且在初期層中,N成分及C成分會被新取入,作為初期層之含Cl的含Si層是朝含Si,C及N的層,亦即矽碳氮化層(SiCN層)變化(被改質)。SiCN層是成為未滿1原子層~數原子層程度的厚度的層。SiCN層是Si成分的比例及C成分的比例比較多的層,亦即富Si且富C的層。
如上述般,在SiCN層中,不僅含於TEA氣體的C成分,連含於C3H6氣體的C成分也被新取入。因 此,SiCN層與對於晶圓200不供給C3H6氣體來使初期層改質而取得的層(對於晶圓200單獨供給TEA氣體來使初期層改質而取得的層)作比較,成為層中的C成分更多的層,亦即更富C的層。
在形成SiCN層時,初期層中所含的Cl,或TEA氣體或C3H6氣體中所含的H是在TEA氣體及C3H6氣體之初期層的改質反應的過程中,構成含Cl及H的至少任一個的氣體狀物質,經由排氣管231來從處理室201內排出。亦即,初期層中的Cl等的雜質會從初期層中抽出或脫離,而從初期層分離。藉此,SiCN層相較於初期層,成為Cl等的雜質少的層。
(殘留氣體除去)
在形成SiCN層之後,關閉閥243b,243c,停止TEA氣體及C3H6氣體的供給。此時,排氣管231的APC閥244是原封不動開啟,藉由真空泵246來將處理室201內真空排氣,從處理室201內排除殘留於處理室201內之未反應或對於SiCN層的形成貢獻後的殘留氣體或反應副生成物。此時,閥243d~243f是原封不動開啟,維持N2氣體往處理室201內的供給。N2氣體是作為淨化氣體作用,藉此,可提高從處理室201內排除殘留於處理室201內之未反應或對於SiCN層的形成貢獻後的殘留氣體或反應副生成物之效果。
此時,亦可不完全排除殘留於處理室201內 的氣體,亦可不完全淨化處理室201內。只要殘留於處理室201內的氣體為微量,便不會有在之後進行的步驟1中產生不良影響的情形。此時,往處理室201內供給之N2氣體的流量也不需要設為大流量,例如,藉由供給與反應管203(處理室201)的容積同程度的量,可在步驟1中進行不會產生不良影響的程度的淨化。藉由如此不完全淨化處理室201內,可縮短淨化時間,使處理能力。並且,N2氣體的消耗也可壓到必要最小限度。
胺系氣體是除了TEA氣體以外,例如,可使用將二乙胺((C2H5)2NH,簡稱:DEA),一乙胺(C2H5NH2,簡稱:MEA)等氣化後的乙胺系氣體,將三甲胺((CH3)3N,簡稱:TMA),二甲胺((CH3)2NH,簡稱:DMA),一甲胺(CH3NH2,簡稱:MMA)等氣化後的甲胺系氣體,將三丙胺((C3H7)3N,簡稱:TPA),二丙胺((C3H7)2NH,簡稱:DPA),一丙胺(C3H7NH2,簡稱:MPA)等氣化後的丙胺系氣體,將三異丙胺([(CH3)2CH)3N,簡稱:TIPA),二異丙胺([(CH3)2CH)2NH,簡稱:DIPA),一異丙胺((CH3)2CHNH2,簡稱:MIPA)等氣化後的異丙胺系氣體,將三丁胺((C4H9)3N,簡稱:TBA),二丁胺((C4H9)2NH,簡稱:DBA),一丁胺(C4H9NH2,簡稱:MBA)等氣化後的丁胺系氣體,或,將三異丁胺([(CH3)2CHCH2)3N,簡稱:TIBA),二異丁胺([(CH3)2CHCH2)2NH,簡稱:DIBA),一異丁胺((CH3)2CHCH2NH2,簡稱:MIBA)等氣化後的異丁 胺系氣體。亦即,作為胺系氣體,例如,可使用將(C2H5)xNH3-x,(CH3)xNH3-x,(C3H7)xNH3-x,[(CH3)2CH]xNH3-x,(C4H9)xNH3-x,[(CH3)2CHCH2]xNH3-x(式中,x是1~3的整數)氣化後的氣體之中至少1種類的氣體。
胺系氣體是使用:以C,N及H的3元素所構成,在其組成式中(化學構造式中,1分子中)C原子的數量比N原子的數量更多的氣體為理想。亦即,胺系氣體是使用:由TEA,DEA,MEA,TMA,DMA,TPA,DPA,MPA,TIPA,DIPA,MIPA,TBA,DBA,MBA,TIBA,DIBA及MIBA所構成的群來選擇之至少含1個胺的氣體為理想。
在使用HCDS氣體等之類含Si及Cl的氯矽烷系原料氣體作為第1處理氣體時,藉由使用TEA氣體或DEA氣體等之類以C,N及H的3元素所構成,在其組成式中C原子的數量比N原子的數量更多的胺系氣體作為第2處理氣體,可提高在步驟2所被形成的SiCN層中的C濃度,亦即,在後述的預定次數實施工程中所被形成的SiCN膜中的C濃度。
相對於此,使用HCDS氣體等之類含Si及Cl的氯矽烷系原料氣體作為第1處理氣體時,使用MMA氣體等的胺系氣體或後述的MMH氣體或DMH氣體等的有機聯胺系氣體等之類以C,N及H的3元素所構成,在其組成式中C原子的數量比N原子的數量更不多的氣體作 為第2處理氣體時,SiCN層中的C濃度,亦即,SiCN膜中的C濃度,無法像使用以C,N及H的3元素所構成,在組成式中C原子的數量比N原子的數量更多的胺系氣體作為第2處理氣體時那麼高,難以實現適當的C濃度。
並且,胺系氣體是使用在其組成式中(化學構造式中,1分子中)具有複數個含C原子的配合基之氣體,亦即在其組成式中具有複數個烷基等的碳化氫基之氣體為理想。具體而言,胺系氣體是使用在其組成式中具有3個或2個含C原子的配合基(烷基等的碳化氫基)之氣體為理想,例如使用由TEA,DEA,TMA,DMA,TPA,DPA,TIPA,DIPA,TBA,DBA,TIBA及DIBA所構成的群來選擇的至少含1個胺的氣體為理想。
使用HCDS氣體等之類含Si及Cl的氯矽烷系原料氣體作為第1處理氣體時,使用TEA氣體或DEA氣體等之類以C,N及H的3元素所構成,在其組成式中具有複數個含C原子的配合基之胺系氣體,亦即在其組成式中具有複數個烷基等的碳化氫基之胺系氣體作為第2處理氣體,可更提高SiCN層中的C濃度,亦即SiCN膜中的C濃度。
相對於此,使用HCDS氣體等之類含Si及Cl的氯矽烷系原料氣體作為第1處理氣體時,使用MMA氣體等的胺系氣體或後述的MMH氣體等有機聯胺系氣體等之類在其組成式中不具有複數個含C原子的配合基的氣體作為第2處理氣體時,SiCN層中的C濃度,亦即,SiCN 膜中的C濃度,無法像使用在其組成式中具有複數個含C原子的配合基之胺系氣體作為第2處理氣體時那麼高,難以實現適當的C濃度。
另外,使用DEA氣體等之類在其組成式中具有2個含C原子的配合基之胺系氣體作為第2處理氣體,要比使用TEA氣體等之類在其組成式中具有3個含C原子的配合基之胺系氣體時,更可使循環速率(每單位循環所被形成的SiCN層的厚度)提升,並且,可提高SiCN層中之N濃度對C濃度的比(N濃度/C濃度比),亦即,SiCN膜中之N濃度對C濃度的比(N濃度/C濃度比)。
相反的,使用TEA氣體等之類在其組成式中具有3個含C原子的配合基之胺系氣體作為第2處理氣體,要比使用DEA氣體等之類在其組成式中具有2個含C原子的配合基之胺系氣體時,更可提高SiCN層中之C濃度對N濃度的比(C濃度/N濃度比),亦即,SiCN膜中之C濃度對N濃度的比(C濃度/N濃度比)。
亦即,藉由包含第2處理氣體中所含的C原子之配合基的數量(烷基等的碳化氫基的數量),亦即藉由適當改變第2處理氣體的氣體種類,可微調循環速率或SiCN膜中的N濃度或C濃度。
並且,第3處理氣體是除了C3H6氣體以外,例如使用乙炔(C2H2)氣體或乙烯(C2H4)氣體等的碳化氫系的氣體為理想。亦即,第3處理氣體是使用以C及H的2元素所構成的氣體,亦即使用非含有N的含碳氣體為理 想。
使用C3H6氣體那樣在其組成式中(化學構造式中,1分子中)含C原子,不含N原子的碳化氫系氣體作為第3處理氣體之下,在步驟2中對於晶圓200供給第3處理氣體時,可防止在初期層中,亦即SiCN層中被添加來自第3處理氣體的N成分。亦即,可將往SiCN層中添加N成分時的氮源只設為第2處理氣體。結果,可一面抑制在後述的預定次數實施工程中所被形成的SiCN膜中的N濃度的增加,一面提高其C濃度。
如以上所述般,藉由分別適當地選擇第2處理氣體的氣體種類(組成)或第3處理氣體的氣體種類(組成),可提高SiCN膜中的C濃度。
在此,為了更提高SiCN膜中的C濃度,例如使對於晶圓200同時供給TEA氣體及C3H6氣體時的處理室201內的壓力形成比在步驟1中對於晶圓200供給HCDS氣體時的處理室201內的壓力更大為理想。亦即,將對於晶圓200供給HCDS氣體時的處理室201內的壓力設為P1[Pa],將對於晶圓200供給TEA氣體及C3H6氣體時的處理室201內的壓力設為P2[Pa]時,將壓力P1,P2設定成符合P2>P1的關係為理想。C3H6氣體等的碳化氫系氣體是對於初期層有比較難吸附的傾向,但藉由將步驟1,2之處理室201內的壓力設定成上述般,可促進往初期層之C3H6氣體的吸附,且可使初期層與TEA氣體的反應促進,結果可更提高在步驟2所形成的SiCN層中的C濃 度,亦即,SiCN膜中的C濃度。
相反的,為了適當地抑制SiCN膜中的C濃度的增加量,而將對於晶圓200供給TEA氣體及C3H6氣體時的處理室201內的壓力設定成在步驟1中對於晶圓200供給HCDS氣體時的處理室201內的壓力以下的壓力為理想。亦即,將上述的壓力P1,P2設定成符合P1≧P2的關係為理想。
亦即,藉由適當地控制供給TEA氣體及C3H6氣體時的處理室201內的壓力,亦即藉由適當地控制供給C3H6氣體的工程之處理室201內的壓力或供給TEA氣體的工程之處理室201內的壓力,可微調SiCN膜中的C濃度。
並且,SiCN膜中的C濃度是不僅像上述那樣控制供給TEA氣體及C3H6氣體時的處理室201內的壓力,藉由控制TEA氣體及C3H6氣體的供給時間或供給流量等的供給條件也可微調整。
例如,在步驟2中,藉由拉長供給TEA氣體及C3H6氣體時的氣體供給時間,或擴大TEA氣體及C3H6氣體的供給流量,可更提高SiCN膜中的C濃度。又,例如,藉由擴大C3H6氣體的供給流量對於TEA氣體的供給流量的比例,亦即將處理室201內之C3H6氣體的分壓形成比TEA氣體的分壓更大,可提高SiCN膜中的C濃度。
又,例如,在步驟2中,藉由縮短供給TEA氣體及C3H6氣體時的氣體供給時間,或縮小TEA氣體及 C3H6氣體的供給流量,可適當地抑制SiCN膜中的C濃度的增加量。又,例如,藉由縮小C3H6氣體的供給流量對於TEA氣體的供給流量的比例,亦即將處理室201內之C3H6氣體的分壓形成比TEA氣體的分壓更小,可適當地抑制SiCN膜中的C濃度的增加量。
如此,藉由控制供給TEA氣體及C3H6氣體的工程之供給條件(氣體的供給時間,供給流量,分壓,處理室201內的壓力等),可微調SiCN膜中的C濃度。
惰性氣體是除了N2氣體以外,例如亦可使用Ar氣體,He氣體,Ne氣體,Xe氣體等的稀有氣體。
(預定次數實施)
以上述的步驟1,2作為1循環,將此循環進行1次以上(預定次數),藉此可在晶圓200上形成預定組成及預定膜厚的SiCN膜。上述的循環是重複複數次為理想。亦即,將每1循環形成的SiCN層的厚度形成比所望的膜厚更小,至所望的膜厚為止重複複數次上述的循環為理想。
進行複數次循環時,至少在第2循環以後的各步驟中,記載「對於晶圓200供給預定的氣體」的部分是意味「對於形成在晶圓200上的層,亦即,對於作為層疊體的晶圓200的最表面供給預定的氣體」,記載「在晶圓200上形成預定的層」的部分是意味「在形成於晶圓200上的層之上,亦即,在作為層疊體的晶圓200的最表面之上形成預定的層」。此點是上述般。此點是在後述的 各變形例,其他的實施形態中也同樣。
(淨化及大氣壓恢復)
一旦進行形成具有預定組成的預定膜厚的SiCN膜之成膜處理,則開啟閥243d~243f,分別從氣體供給管232d~232f供給N2氣體至處理室201內,從排氣管231排氣。N2氣體是作為淨化氣體作用,藉此,處理室201內會以惰性氣體來淨化,殘留於處理室201內的氣體或反應副生成物會從處理室201內除去(淨化)。然後,處理室201內的環境會被置換成惰性氣體(惰性氣體置換),處理室201內的壓力會恢復成常壓(大氣壓恢復)。
(晶舟卸載及晶圓卸裝)
然後,密封蓋219會藉由晶舟昇降機115來下降,反應管203的下端會被開口,且在處理完成的晶圓200被晶舟217支撐的狀態下從反應管203的下端搬出至反應管203的外部(晶舟卸載)。然後,處理完成的晶圓200由晶舟217取出(晶圓卸裝)。
(3)本實施形態的效果
若根據本實施形態,則可取得以下所示的1個或複數的效果。
(a)藉由與對晶圓200供給胺系氣體(TEA氣體)作為第 2處理氣體的工程同時進行對晶圓200供給碳化氫系氣體(C3H6氣體)作為第3處理氣體的工程,亦即,至少在TEA氣體的供給期間進行供給C3H6氣體的工程,可在SiCN層中,不僅含在胺系氣體的C成分,還可新添加含在C3H6氣體的C成分。亦即,藉由在1循環中使用2種類的碳來源(雙碳來源)來進行成膜,可在SiCN膜中,不僅含在TEA氣體的C成分,還可新添加含在C3H6氣體的C成分。藉此,可提高SiCN膜中的C濃度。亦即,要比在1循環中使用1種類的碳來源(單碳來源)來進行成膜時更能夠提高SiCN膜中的C濃度。
(b)藉由同時進行對晶圓200供給C3H6氣體的工程及對晶圓200供給TEA氣體的工程,與分別進行該等的工程時作比較,可縮短每1循環的所要時間。藉此,可迴避形成SiCN膜時的處理能力的降低,可迴避成膜處理的生產性的降低。
(c)使用在組成式中含C原子,不含N原子的碳化氫系氣體作為第3處理氣體,在步驟2中對晶圓200供給第3處理氣體時,可防止在SiCN層中被添加來自第3處理氣體的N成分。藉此,可容易一面抑制SiCN膜中的N濃度的增加,一面提高其C濃度。
(d)藉由適當地控制供給TEA氣體及C3H6氣體的工程之供給條件(氣體的供給時間,供給流量,處理室201內的壓力,C3H6氣體的分壓等),可微調SiCN膜中的C濃度。
例如,在步驟2中,藉由將對於晶圓200供給TEA氣體及C3H6氣體的工程之處理室201內的壓力形成比在步驟1中對於晶圓200供給HCDS氣體的工程之處理室201內的壓力更大,可更提高SiCN膜中的C濃度。
又,例如,在步驟2中,藉由拉長供給TEA氣體及C3H6氣體的工程之TEA氣體及C3H6氣體的氣體供給時間,或擴大TEA氣體及C3H6氣體的供給流量,可更提高SiCN膜中的C濃度。又,例如,藉由擴大供給TEA氣體及C3H6氣體的工程之C3H6氣體的供給流量對於TEA氣體的供給流量的比例(C3H6氣體的供給流量/TEA氣體的供給流量),亦即將處理室201內之C3H6氣體的分壓形成比TEA氣體的分壓更大,可一面抑制SiCN膜中的N濃度的增加,一面有效地提高C濃度。
又,例如,在步驟2中,藉由縮短供給TEA氣體及C3H6氣體的工程之TEA氣體及C3H6氣體的氣體供給時間,或縮小TEA氣體及C3H6氣體的供給流量,可適當地抑制SiCN膜中的C濃度的增加量。又,例如,藉由縮小C3H6氣體的供給流量對於TEA氣體的供給流量的比例(C3H6氣體的供給流量/TEA氣體的供給流量),亦即將處理室201內之C3H6氣體的分壓形成比TEA氣體的分壓更小,可適當地抑制SiCN膜中的C濃度的增加量。
(e)藉由使用以C,N及H的3元素所構成,非含Si及金屬的胺系氣體之TEA氣體作為第2處理氣體,可使形成SiCN膜時的反應控制性,特別是組成控制 性提升。亦即,使用TEA氣體作為第2處理氣體的本實施形態的成膜順序,與使用例如以鉿(Hf),C,N及H的4元素所構成的四(乙基甲基氨)鉿(Hf[N(C2H5)(CH3))4,簡稱:TEMAH)氣體等作為第2處理氣體的成膜順序作比較,可使令第2處理氣體與初期層反應而形成SiCN層時的反應控制性特別是組成控制性提升。藉此,可容易進行SiCN膜的組成控制。
(f)藉由使用以C,N及H的3元素所構成,非含有Si及金屬的胺系氣體之TEA氣體作為第2處理氣體,可使SiCN膜中的雜質濃度減低。亦即,使用TEA氣體作為第2處理氣體的本實施形態的成膜順序,與使用例如以Hf,C,N及H的4元素所構成的TEMAH氣體等作為第2處理氣體的成膜順序作比較,可使藉由第2處理氣體與初期層的反應而形成的SiCN層中雜質元素的混入機率減低,可使SiCN膜中的雜質濃度減低。
(g)藉由使用以C,N及H的3元素所構成,非含有Si及金屬的胺系氣體之TEA氣體作為第2處理氣體,可使SiCN膜的晶圓200面內及晶圓200面間的膜厚均一性分別提升。亦即,以C,N及H的3元素所構成的TEA氣體與例如以Hf,C,N及H的4元素所構成的TEMAH氣體等作比較,由於對於含Cl的含Si層具有高的反應性,因此使用TEA氣體作為第2處理氣體的本實施形態的成膜順序可在晶圓200面內及晶圓200面間確實且均一地進行第2處理氣體與初期層的反應。其結果,可 使SiCN膜的晶圓200面內及晶圓200面間的膜厚均一性分別提升。
(變形例)
利用圖4,圖5來說明的上述成膜順序是針對與供給胺系氣體(TEA氣體)作為第2處理氣體的工程同時進行供給碳化氫系氣體(C3H6氣體)作為第3處理氣體的工程之例,亦即,在TEA氣體的供給期間進行供給C3H6氣體的工程,在TEA氣體的供給停止期間不進行的例子。但,本實施形態的成膜順序是不限於如此的形態,亦可如以下般變更。
例如圖5所示的變形例1那樣,在步驟2中,亦可比供給TEA氣體的工程更先進行供給C3H6氣體的工程,更與供給TEA氣體的工程同時進行。亦即,在步驟2中,在TEA氣體的供給開始前的期間及TEA氣體的供給期間分別進行供給C3H6氣體的工程,不在TEA氣體的供給終了後的期間進行。此情況中也是處理條件例如可設為與上述的實施形態同樣的處理條件。
又,例如圖5所示的變形例2那樣,在步驟2中,亦可與供給TEA氣體的工程同時進行供給C3H6氣體的工程,更在終了後進行供給TEA氣體的工程。亦即,在步驟2中,不在TEA氣體的供給開始前的期間進行供給C3H6氣體的工程,而在TEA氣體的供給期間及TEA氣體的供給終了後的期間分別進行。此情況也是處理條件例 如可設為與上述的實施形態同樣的處理條件。
又,例如圖5所示的變形例3那樣,在步驟2中,亦可比供給TEA氣體的工程更先進行供給C3H6氣體的工程,更與供給TEA氣體的工程同時進行,且在終了供給TEA氣體的工程之後進行。亦即,在步驟2中,在TEA氣體的供給開始前的期間,TEA氣體的供給期間,TEA氣體的供給終了後的期間分別進行供給C3H6氣體的工程。此情況也是處理條件例如可設為與上述的實施形態同樣的處理條件。
又,例如圖5所示的變形例4那樣,在步驟2中,亦可只比供給TEA氣體的工程更先進行供給C3H6氣體的工程,不與供給TEA氣體的工程同時進行。亦即,在步驟2中,只在TEA氣體的供給開始前的期間進行供給C3H6氣體的工程,不在TEA氣體的供給期間及TEA氣體的供給開始後的期間進行。此情況也是處理條件例如可設為與上述的實施形態同樣的處理條件。
又,例如圖5所示的變形例5那樣,在步驟2中,亦可不與供給TEA氣體的工程同時進行,只在終了供給TEA氣體的工程之後進行供給C3H6氣體的工程。亦即,在步驟2中,分別在TEA氣體的供給開始前的期間及TEA氣體的供給期間不進行,只在TEA氣體的供給終了後的期間進行供給C3H6氣體的工程。此情況也是處理條件例如可設為與上述的實施形態同樣的處理條件。
又,例如圖5所示的變形例6那樣,在步驟2 中,亦可比供給TEA氣體的工程更先進行,不與供給TEA氣體的工程同時進行,在終了供給TEA氣體的工程之後進行供給C3H6氣體的工程。亦即,在步驟2中,不在TEA氣體的供給期間進行,分別在TEA氣體的供給開始前及供給終了後進行供給C3H6氣體的工程。此情況也是處理條件例如可設為與上述的實施形態同樣的處理條件。
在該等的變形例中也可取得與利用圖4,圖5來說明的上述的成膜順序同樣的效果。亦即,不只在TEA氣體的供給期間進行,也在TEA氣體的供給停止期間進行供給C3H6氣體的工程,又,不在TEA氣體的供給期間進行,而在TEA氣體的供給停止期間進行供給C3H6氣體的工程,亦可提高SiCN膜中的C濃度。而且,可更緻密地控制膜中的Si成分,C成分,N成分的比例,可使SiCN膜的組成比控制的控制性提升。
例如,在變形例1~3中,與利用圖4,圖5來說明的上述成膜順序作比較,可更提高SiCN膜中的C濃度。亦即,在該等的變形例中,不僅TEA氣體的供給期間,在TEA氣體的供給停止期間也進行供給C3H6氣體的工程,因此與只在TEA氣體的供給期間進行此工程的成膜順序作比較,可更提高SiCN膜中的C濃度。
並且,在變形例4~6中,與利用圖4,圖5來說明的上述成膜順序作比較,可使SiCN膜的組成比控制的控制性更提升。因為該等的變形例是在亦為氮來源的 TEA氣體的供給期間不進行供給C3H6氣體的工程,只在TEA氣體的供給停止期間進行。如此使單獨進行碳來源的供給時,可與N成分的添加獨立進行往初期層中之C成分的添加,亦即,往SiCN膜中之C成分的添加。結果與氮來源的供給同時進行碳來源的供給的其他的成膜順序作比較,更容易一面抑制SiCN膜中的N濃度的增加,一面提高膜中的C濃度等。
並且,在變形例1,3,4,6中,與利用圖4,圖5來說明的上述成膜順序或變形例2,5作比較,更容易提高SiCN膜中的C濃度。因為該等的變形例是在亦為氮來源的TEA氣體的供給開始前進行供給C3H6氣體的工程。如此使碳來源的供給在氮來源的供給之前開始時,可有效率地進行往初期層中之C成分的添加。結果與在TEA氣體的供給期間中或供給期間後進行C3H6氣體的供給之其他的成膜順序作比較,可更提高SiCN膜中的C濃度。但,為了確實地進行往SiCN膜中之N成分的添加,在往初期層上之C3H6氣體分子等的吸附反應飽和之前,亦即,C3H6氣體的吸附層(化學吸附層)成為連續層之前(為不連續層的期間)開始TEA氣體的供給為理想。
在該等的變形例中,藉由適當地控制在TEA氣體的供給期間及/或供給停止期間進行之供給C3H6氣體的工程的供給條件(氣體的供給時間,供給流量,處理室201內的壓力,C3H6氣體的分壓等),可微調SiCN膜中的C濃度。
例如,在步驟2中,藉由使在TEA氣體的供給停止期間進行供給C3H6氣體的工程之工程的處理室201內的壓力形成比供給TEA氣體的工程(TEA氣體的供給期間)之處理室201內的壓力更大,可更提高SiCN膜中的C濃度。亦即,藉由使比供給TEA氣體的工程更先進行供給C3H6氣體的工程之工程的處理室201內的壓力形成比供給TEA氣體的工程之處理室201內的壓力更大,可更提高SiCN膜中的C濃度。並且,藉由使在終了供給TEA氣體的工程之後進行供給C3H6氣體的工程之工程的處理室201內的壓力形成比供給TEA氣體的工程之處理室201內的壓力更大,可更提高SiCN膜中的C濃度。
又,例如,在步驟2中,藉由將在TEA氣體的供給停止期間進行供給C3H6氣體的工程之工程的處理室201內的壓力設定成供給TEA氣體的工程(TEA氣體的供給期間)之處理室201內的壓力以下的壓力,可適當地抑制SiCN膜中的C濃度的增加量。亦即,藉由將比供給TEA氣體的工程更先進行供給C3H6氣體的工程之工程的處理室201內的壓力設定成供給TEA氣體的工程之處理室201內的壓力以下的壓力,可適當地抑制SiCN膜中的C濃度的增加量。又,藉由將在終了供給TEA氣體的工程之後進行供給C3H6氣體的工程之工程的處理室201內的壓力設定成供給TEA氣體的工程之處理室201內的壓力以下的壓力,可適當地抑制SiCN膜中的C濃度的增加量。
又,例如,在步驟2中,藉由拉長在TEA氣體的供給停止期間進行供給C3H6氣體的工程之工程的C3H6氣體的氣體供給時間,或擴大C3H6氣體的供給流量,可更提高SiCN膜中的C濃度。
又,例如,在步驟2中,藉由縮短在TEA氣體的供給停止期間進行供給C3H6氣體的工程之工程的C3H6氣體的氣體供給時間,或減少C3H6氣體的供給流量,可是適當地抑制SiCN膜中的C濃度的增加量。
若根據該等的變形例,則不會有過度擴大供給TEA氣體的工程之處理室201內的壓力,或過度拉長TEA氣體的供給時間,或過度擴大TEA氣體的供給流量的情形,可提高SiCN膜中的C濃度。亦即,一邊將供給TEA氣體的工程之供給條件(氣體的供給時間,供給流量,處理室201內的壓力,TEA氣體的分壓等)設為適正的範圍,一邊適當地控制在TEA氣體的供給停止期間進行之供給C3H6氣體的工程之供給條件(氣體的供給時間,供給流量,處理室201內的壓力,C3H6氣體的分壓等),藉此可提高SiCN膜中的C濃度。並且,可減少比較高價的TEA氣體的消耗量,可降低基板處理成本。
<本發明的其他的實施形態>
以上,具體說明本發明的實施形態,但本發明是不限於上述的實施形態,亦可在不脫離其要旨的範圍實施各種變更。
例如,上述的實施形態是說明有關在TEA氣體的供給期間及/或供給停止期間進行供給C3H6氣體的工程,但本發明並不限於如此的實施形態。
例如圖10所示般,亦可與供給HCDS氣體的工程同時進行供給C3H6氣體的工程。又,如圖10所示的變形例1~3那樣,不只在HCDS氣體的供給期間進行,亦可在HCDS氣體的供給停止期間(HCDS氣體的供給開始前的期間及/或HCDS氣體的供給終了後的期間)進行。又,如圖10所示的變形例4~6那樣,亦可不在HCDS氣體的供給期間進行,而在HCDS氣體的供給停止期間(HCDS氣體的供給開始前的期間及/或HCDS氣體的供給終了後的期間)進行供給C3H6氣體的工程。在該等的情況,處理條件是例如可設為與上述的實施形態同樣的處理條件。
在該等的情況中也可提高SiCN膜中的C濃度,可使SiCN膜的組成比控制的控制性提升。特別是在圖10所示的變形例1~3中,可更提高SiCN膜中的C濃度。並且,在圖10所示的變形例4~6中,可使SiCN膜的組成比控制的控制性更提升。並且,在變形例4~6中,與圖10所示的其他的成膜順序作比較,較可使HCDS氣體或C3H6氣體在表面反應為支配性的條件下容易適當地反應,亦可提高膜厚控制的控制性。並且,在變形例4~6中,處理室201內的HCDS氣體與C3H6氣體的氣相反應也容易迴避,亦可抑制在處理室201內之粒子的發生。並且,在圖10所示的變形例1,3,4,6中,與圖10所示 的其他的成膜順序作比較,可更容易提高SiCN膜中的C濃度。但,為了確實地進行往晶圓200上之含Cl的含Si層的形成,最好是在往晶圓200上之C3H6氣體分子等的吸附反應飽和之前,亦即,C3H6氣體的吸附層(化學吸附層)成為連續層之前(為不連續層的期間)開始HCDS氣體的供給。
如此,在圖10所示的各成膜順序也可取得與圖5所示的各成膜順序同樣的作用效果。但,圖5所示的各成膜順序與圖10所示的各成膜順序作比較,較可使HCDS氣體或C3H6氣體在表面反應為支配性的條件下適當地反應,可提高膜厚控制的控制性的點為理想。並且,圖5所示的各成膜順序的情況,在處理室201內不會有HCDS氣體與C3H6氣體混雜的情形,可迴避處理室201內的HCDS氣體與C3H6氣體的氣相反應,可抑制在處理室201內之粒子的發生的點亦可為理想。
又,例如,上述的實施形態是說明有關在形成SiCN層時,對於晶圓200供給氯矽烷系原料氣體,然後供給胺系氣體及碳化氫系氣體的例子,但該等的氣體的供給順序亦可相反。亦即,亦可供給胺系氣體及碳化氫系氣體,然後供給氯矽烷系原料氣體。亦即,只要先供給氯矽烷系原料氣體與胺系氣體及碳化氫系氣體的其中任一方,然後供給另一方即可。藉由如此改變氣體的供給順序,亦可使所被形成的薄膜的膜質或組成比變化。
又,例如,上述的實施形態是說明有關在步 驟1形成初期層時,使用氯矽烷系原料氣體作為第1處理氣體的例子,但亦可取代氯矽烷系原料氣體,而使用持有氯基以外的鹵系的配合基之矽烷系原料氣體。例如,亦可取代氯矽烷系原料氣體,而使用氟矽烷系原料氣體。在此,所謂氟矽烷系原料氣體是氣體狀態的氟矽烷系原料,例如在常溫常壓下將液體狀態的氟矽烷系原料氣化而取得的氣體,或在常溫常壓下氣體狀態的氟矽烷系原料等。又,所謂氟矽烷系原料是具有作為鹵基的氟代基之矽烷系原料,至少含矽(Si)及氟(F)的原料。亦即,在此所謂的氟矽烷系原料是亦可謂鹵化物的一種。作為氟矽烷系原料氣體,例如可使用四氟矽烷亦即四氟化矽(SiF4)氣體,或六氟化二矽(Si2F6)氣體等的氟化矽氣體。此情況,在形成含預定元素及鹵元素的初期層時,對於晶圓200供給氟矽烷系原料氣體。此情況,初期層是含Si及F的層,亦即含F的含Si層。
又,例如,上述的實施形態是說明有關使初期層變化(改質)成SiCN層時,使用胺系氣體作為第2處理氣體的例子,但亦可取代胺系氣體,例如使用含有機聯胺化合物的氣體,亦即有機聯胺系氣體作為第2處理氣體。亦可將含有機聯胺化合物的氣體簡稱為有機聯胺化合物氣體,或有機聯胺氣體。在此,所謂有機聯胺系氣體是氣體狀態的有機聯胺,例如,在常溫常壓下將液體狀態的有機聯胺氣化而取得的氣體,或在常溫常壓下氣體狀態的有機聯胺等之含聯胺基的氣體。有機聯胺系氣體是以碳 (C),氮(N)及氫(H)的3元素所構成之非含矽(Si)的氣體,更非含Si及金屬的氣體。作為有機聯胺系氣體,例如可使用將單甲基肼((CH3)HN2H2,簡稱:MMH),二甲肼((CH3)2N2H2,簡稱:DMH),三甲肼((CH3)2N2(CH3)H,簡稱:TMH)等氣化後的甲基肼系氣體,或將乙基肼((C2H5)HN2H2,簡稱:EH)等氣化後的乙基肼系氣體。此情況,在使初期層變化(改質)成SiCN層時,對於晶圓200供給有機聯胺系氣體及碳化氫系氣體。
有機聯胺系氣體是使用以C,N及H的3元素所構成,在其組成式中(化學構造式中,1分子中)C原子的數量要比N原子的數量更多的氣體為理想。並且,有機聯胺系氣體是使用在其組成式中具有複數個含C原子的配合基之氣體,亦即在其組成式中具有複數個烷基等的碳化氫基之氣體為理想。具體而言,有機聯胺系氣體是使用在其組成式中具有3個或2個含C原子的配合基(烷基等的碳化氫基)之氣體為理想。
又,例如,上述的實施形態是說明有關在形成SiCN層時,對於晶圓200供給氯矽烷系原料氣體,然後供給胺系氣體及碳化氫系氣體的例子,但亦可對於晶圓200同時供給氯矽烷系原料氣體與胺系氣體及碳化氫系氣體,而使CVD反應產生。如此,對於晶圓200,即使不是依序供給氯矽烷系原料氣體與胺系氣體及碳化氫系氣體,而是同時供給,還是可取得與上述實施形態同樣的作用效果。但,像上述的實施形態那樣,在其間夾著處理室 201內的淨化來交替供給氯矽烷系原料氣體與胺系氣體及碳化氫系氣體,較可使氯矽烷系原料氣體或胺系氣體及碳化氫系氣體在表面反應為支配性的條件下適當地反應,可使膜厚控制的控制性提升的點為理想。並且,容易迴避處理室201內的氯矽烷系原料氣體與胺系氣體及碳化氫系氣體的氣相反應,可抑制在處理室201內之粒子的發生的點亦為理想。
藉由使用利用上述各實施形態或各變形例的手法所形成的矽系絕緣膜作為側壁間隔件,可提供一種洩漏電流少,加工性佳的裝置形成技術。
並且,藉由使用利用上述各實施形態或各變形例的手法所形成的矽系絕緣膜作為蝕刻阻擋層,可提供一種加工性佳的裝置形成技術。
若根據上述的各實施形態或各變形例,則即使在低溫領域中也可不使用電漿,形成理想的理論混合比的矽系絕緣膜。並且,因為不使用電漿來形成矽系絕緣膜,所以例如DPT的SADP膜等,對於擔心電漿損傷的工程也可適應。
並且,在上述的實施形態是說明有關形成含半導體元素的Si之矽系絕緣膜(SiCN膜)的例子,作為含預定元素的碳氮化膜,但本發明是亦可適用在形成例如含鈦(Ti),鋯(Zr),鉿(Hf),鉭(Ta),鋁(Al),鉬(Mo)等的金屬元素之金屬系薄膜時。
亦即,本發明是在例如形成鈦碳氮化膜(TiCN 膜),鋯碳氮化膜(ZrCN膜),鉿碳氮化膜(HfCN膜),鉭碳氮化膜(TaCN膜),鋁碳氮化膜(AlCN膜),鉬碳氮化膜(MoCN膜)等的金屬碳氮化膜時也可適宜地適用。
此情況,可取代上述實施形態的氯矽烷系原料氣體,而使用含金屬元素及鹵元素的原料氣體作為第1處理氣體,依照與上述實施形態同樣的順序來進行成膜。
亦即,可在進行預定次數(1次以上)循環之下,在晶圓200上形成含金屬元素,碳及氮的膜,作為金屬系薄膜,該循環是包含:對於晶圓200供給含金屬元素及鹵元素的第1處理氣體之工程;對於晶圓200供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對於晶圓200供給含碳的第3處理氣體之工程。
例如,形成含Ti的金屬系薄膜(TiCN膜)的情況,可使用四氯化鈦(TiCl4)等含Ti及氯基的原料氣體,或四氟化鈦(TiF4)等含Ti及氟代基的原料氣體作為第1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
又,例如,形成含Zr的金屬系薄膜(ZrCN膜)的情況,可使用四氯化鋯(ZrCl4)等含Zr及氯基的原料氣體,或四氟化鋯(ZrF4)等含Zr及氟代基的原料氣體作為第 1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
又,例如,形成含Hf的金屬系薄膜(HfCN膜)的情況,可使用四氯化鉿(HfCl4)等含Hf及氯基的原料氣體,或四氟化鉿(HfF4)等含Hf及氟代基的原料氣體作為第1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
又,例如,形成含Ta的金屬系薄膜(TaCN膜)的情況,可使用五氯化鉭(TaCl5)等含Ta及氯基的原料氣體,或五氟化鉭(TaF5)等含Ta及氟代基的原料氣體作為第1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
又,例如,形成含Al的金屬系薄膜(AlCN膜)的情況,可使用三氯化鋁(AlCl3)等含Al及氯基的原料氣體,或三氟化鋁(AlF3)等含Al及氟代基的原料氣體作為第1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
又,例如,形成含Mo的金屬系薄膜(MoCN膜)的情況,可使用五氯化鉬(MoCl5)等含Mo及氯基的原料氣體,或五氟化鉬(MoF5)等含Mo及氟代基的原料氣體 作為第1處理氣體。可使用與上述實施形態同樣的氣體作為第2,第3處理氣體。此時的處理條件是例如可設為與上述實施形態同樣的處理條件。
亦即,本發明是可合適地適用在形成含半導體元素或金屬元素等的預定元素的薄膜時。
使用在該等的各種薄膜的成膜之製程處方(記載有處理程序或處理條件等的程式)是按照基板處理的內容(所形成的薄膜的膜種,組成比,膜質,膜厚等)來個別地準備(複數準備)為理想。而且,開始基板處理時,按照基板處理的內容,從複數的製程處方之中選擇適當的製程處方為理想。具體而言,經由電氣通訊線路或記錄該製程處方的記錄媒體(外部記憶裝置123)來將按照基板處理的內容而個別準備的複數個製程處方予以事先儲存(安裝)於基板處理裝置所具備的記憶裝置121c內為理想。而且,開始基板處理時,基板處理裝置所具備的CPU121a從儲存於記憶裝置121c內的複數個製程處方之中,按照基板處理的內容來選擇適當的製程處方為理想。在如此構成之下,可在1台的基板處理裝置將各式各樣的膜種,組成比,膜質,膜厚的薄膜予以泛用地且再現性佳形成。並且,可降低操作員的操作負擔(處理程序或處理條件等的輸入負擔等),可一面迴避操作錯誤,一面迅速地開始基板處理。
上述的製程處方是不限於新作成的情況,例如,亦可藉由變更已被安裝於基板處理裝置的既存製程處 方來準備。變更製程處方時,亦可經由電氣通訊線路或記錄該製程處方的記錄媒體來將變更後的製程處方安裝於基板處理裝置。又,亦可操作既存的基板處理裝置所具備的輸出入裝置122,直接變更已被安裝於基板處理裝置的既存的製程處方。
上述的實施形態是說明有關利用一次處理複數片的基板之分批式的基板處理裝置來形成薄膜的例子。但本發明並非限於上述的實施形態,例如,利用一次處理1片或數片的基板之單片的基板處理裝置來形成薄膜時也可適用。並且,上述的實施形態是說明有關利用具有熱壁型的處理爐之基板處理裝置來形成薄膜的例子。但本發明並非限於上述的實施形態,利用具有冷壁型的處理爐之基板處理裝置來形成薄膜時也可適用。在該等的情況中也是處理條件例如可設為與上述實施形態同樣的處理條件。
又,上述的各實施形態或各變形例或各應用例等是可適當組合使用。又,此時的處理條件是可例如設為與上述的實施形態同樣的處理條件。
[實施例]
(實施例1)
在本實施例中,使用上述實施形態的基板處理裝置,依據上述實施形態的成膜順序,在複數片的晶圓上形成SiCN膜。第1處理氣體是使用HCDS氣體,第2處理氣 體是使用TEA氣體,第3處理氣體是使用C3H6氣體。在步驟2中,以圖5的變形例3所示的氣體供給時機來供給C3H6氣體。亦即,分別在TEA氣體的供給開始前的期間(供給停止期間),TEA氣體的供給期間,TEA氣體的供給終了後的期間(供給停止期間)分別進行供給C3H6氣體的工程。成膜時的晶圓溫度是設為600~650℃的範圍內的溫度。其他的處理條件是設定成上述實施形態記載的處理條件範圍內的預定值。
然後,分別測定本實施例的SiCN膜的膜厚分布,面內平均膜厚,晶圓面內的膜厚均一性(以下亦稱WiW),折射率(Refractive Index,以下亦稱R.I.)及RMS粗糙度(表面粗度)。
圖6是表示配置於晶舟的中央部(Center)的晶圓上所被形成的SiCN膜的膜厚分布,面內平均膜厚,WiW及R.I.的測定結果的圖。如圖6所示般,本實施例的SiCN膜的膜厚分布良好,其平均膜厚為149.8Å,WiW是±1.76%,R.I.是2.147。亦即,可確認本實施例的SiCN膜是晶圓面內的膜厚均一性良好,成為具備適當值的R.I.的膜。
圖7是表示本實施例的SiCN膜的RMS粗糙度的測定結果的圖。RMS粗糙度是其值越小,表示表面越平坦,相反的,其值越大,表示表面越粗。如圖7所示般,本實施例的SiCN膜的RMS粗糙度是0.47nm以下。亦即,可確認本實施例的SiCN膜是平坦性高的膜。
(實施例2)
在本實施例中,利用上述實施形態的基板處理裝置,依照上述實施形態的成膜順序,在複數片的晶圓上形成SiCN膜。成膜時的處理程序及處理條件是與實施例1的處理程序及處理條件相同。
又,比較例是使用上述實施形態的基板處理裝置,依照進行預定次數循環的成膜順序,在複數片的晶圓上形成SiCN膜,該循環是依序進行對於晶圓供給HCDS氣體的步驟,及對於晶圓供給TEA氣體的步驟。在比較例中,未進行對於晶圓供給C3H6氣體的步驟。成膜時的晶圓溫度是設為600~650℃的範圍內的溫度。其他的處理條件是設定成上述的實施形態記載的處理條件範圍內的預定值。
然後,針對該等的膜來分別測定XPS組成,氟化程氫(HF)耐性。將該等的測定結果分別顯示於圖8,圖9。
圖8是表示本實施例的SiCN膜的XPS測定結果的圖。圖8的橫軸是依序表示比較例的膜,本實施例的膜。圖8的縱軸是表示以XPS測定的膜中的Si,O,C,N濃度[at%]。另外,在任一膜中也檢測出O成分,皆為雜質水準,這可思考起因於在SiCN膜與底層的界面或SiCN膜表面所形成的自然氧化膜。若根據圖8,則可得知本實施例的SiCN膜是膜中的N濃度低於比較例的SiCN 膜,膜中的C濃度高於比較例的SiCN膜。亦即,在TEA氣體的供給開始前的期間(供給停止期間),TEA氣體的供給期間,TEA氣體的供給終了後的期間(供給停止期間)分別進行供給C3H6氣體的工程之下,可形成一面抑制SiCN膜中的N濃度的增加,一面提高膜中的C濃度,亦即富C的SiCN膜。
圖9是表示本實施例的SiCN膜的HF耐性的測定結果的圖。圖9的橫軸是依序表示比較例的膜,本實施例的膜。圖9的縱軸是表示使用含有濃度1%的HF的液來蝕刻膜時的濕蝕刻速率(以下亦稱為W.E.R.)[Å/min],亦即膜對於HF的耐性。若根據圖9,則可知本實施例的SiCN膜的W.E.R.更小於比較例的SiCN膜。亦即,可知本實施例的SiCN膜的HF耐性更高於比較例的SiCN膜。這可思考本實施例的SiCN膜的膜中的C濃度更高於比較例的SiCN膜。
(其他)
在上述的實施例1,2中亦確認,分別藉由適當地控制在TEA氣體的供給期間及/或供給停止期間所進行之供給C3H6氣體的工程的供給條件(氣體的供給時間,供給流量,處理室內的壓力,C3H6氣體的分壓等),可一面抑制SiCN膜中的N濃度的增加,一面微調SiCN膜中的C濃度。
並且,在上述的實施例1,2中亦確認,分別 以圖5的變形例3所示的氣體供給時機來供給C3H6氣體而形成SiCN膜,但即使以圖5所示的其他氣體供給時機來供給C3H6氣體而形成SiCN膜,也可取得與上述實施例1,2同傾向的效果。而且,亦確認即使以圖10所示的各種氣體供給時機來供給C3H6氣體而形成SiCN膜,也可取得與上述實施例1,2同傾向的效果。
<本發明的理想形態>
以下,附記有關本發明的理想形態。
(附記1)
若本發明之一形態,則提供一種半導體裝置的製造方法,係具有:在進行預定次數循環之下,在前述基板上形成含前述預定元素,碳及氮的膜(含前述預定元素的碳氮化膜)之工程,該循環係包含:對基板供給含預定元素及鹵元素的第1處理氣體之工程;對前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對前述基板供給含碳的第3處理氣體之工程。
(附記2)
如附記1所記載的半導體裝置的製造方法,最好前述 循環包含:至少在前述第2處理氣體的供給期間進行供給前述第3處理氣體的工程之工程。
(附記3)
如附記1或2所記載的半導體裝置的製造方法,最好前述循環包含:至少在前述第2處理氣體的供給停止期間進行供給前述第3處理氣體的工程之工程。
(附記4)
如附記1~3的任一記載的半導體裝置的製造方法,最好前述循環包含:與供給前述第2處理氣體的工程同時進行供給前述第3處理氣體的工程之工程。
(附記5)
如附記1~4的任一記載的半導體裝置的製造方法,最好前述循環包含:比供給前述第2處理氣體的工程更先進行供給前述第3處理氣體的工程之工程。
(附記6)
如附記1~5的任一記載的半導體裝置的製造方法,最好前述循環包含:在終了供給前述第2處理氣體的工程之後進行供給前述第3處理氣體的工程之工程。
(附記7)
如附記1~6的任一記載的半導體裝置的製造方法,最好形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將在前述第2處理氣體的供給停止期間進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程(前述第2處理氣體的供給期間)之前述處理室內的壓力更大(高)。
(附記8)
如附記1~7的任一記載的半導體裝置的製造方法,最好形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將比供給前述第2處理氣體的工程更先進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程之前述處理室內的壓力更大。
(附記9)
如附記1~8的任一記載的半導體裝置的製造方法,最好形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將在終了供給前述第2處理氣體的工程之後進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程之前述處理室內的壓力 更大。
(附記10)
如附記1~9的任一記載的半導體裝置的製造方法,最好藉由控制供給前述第3處理氣體的工程之供給條件(第3處理氣體的供給時間,供給流量,分壓,處理室內壓力),而來控制前述膜中的碳濃度。
(附記11)
如附記1~10的任一記載的半導體裝置的製造方法,最好前述第2處理氣體係包含:在其組成式中(化學構造式中,1分子中)碳原子的數量比氮原子的數量更多的氣體。
(附記12)
如附記1~11的任一記載的半導體裝置的製造方法,最好前述第2處理氣體係包含:在其組成式中(化學構造式中,1分子中)具有複數個含碳原子的配合基之氣體。
(附記13)
如附記1~12的任一記載的半導體裝置的製造方法,最好前述第2處理氣體係包含:由胺及有機聯胺的其中至少一個。
(附記14)
如附記1~13的任一記載的半導體裝置的製造方法,最好前述第3處理氣體係包含:以碳及氫的2元素所構成的氣體。
(附記15)
如附記1~14的任一記載的半導體裝置的製造方法,最好前述第3處理氣體係包含碳化氫系氣體。
(附記16)
如附記1~15的任一記載的半導體裝置的製造方法,最好前述預定元素係包含矽或金屬,前述鹵元素係包含氯或氟。
(附記17)
若根據本發明的其他的形態,則提供一種基板處理方法,係具有:在進行預定次數循環之下,在前述基板上形成含前述預定元素,碳及氮的膜之工程,該循環係包含:對基板供給含預定元素及鹵元素的第1處理氣體之工程;對前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對前述基板供給含碳的第3處理氣體之工程。
(附記18)
若根據本發明的另外其他的形態,則提供一種基板處理裝置,係具有:處理室,其係收容基板;第1氣體供給系,其係供給含預定元素及鹵元素的第1處理氣體至前述處理室內;第2氣體供給系,其係供給以碳,氮及氫的3元素所構成的第2處理氣體至前述處理室內;第3氣體供給系,其係供給含碳的第3處理氣體至前述處理室內;及控制部,其係構成控制前述第1氣體供給系,前述第2氣體供給系及前述第3氣體供給系,而使能夠在進行預定次數循環之下,進行在前述基板上形成含前述預定元素,碳及氮的膜之處理,該循環係包含:對前述處理室內的基板供給前述第1處理氣體之處理;對前述處理室內的前述基板供給前述第2處理氣體之處理;及對前述處理室內的前述基板供給前述第3處理氣體之處理。
(附記19)
若根據本發明的另外其他的形態,則提供一種程式及記錄該程式之電腦可讀取的記錄媒體,該程式係使程序實行於電腦的程式,該程序係於進行預定次數循環之下,在前述基板上形成含前述預定元素,碳及氮的膜,該循環係包含:對(基板處理裝置的)處理室內的基板供給含預定元素及鹵元素的第1處理氣體之程序;對前述處理室內的前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之程序;及對前述處理室內的前述基板供以含碳的第3處理氣體之程序。

Claims (18)

  1. 一種半導體裝置的製造方法,其特徵係具有:在進行預定次數循環之下,在基板上形成含預定元素,碳及氮的膜之工程,該循環係包含:對基板供給含預定元素及鹵元素的第1處理氣體之工程;對前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之工程;及對前述基板供給含碳的第3處理氣體之工程。
  2. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述循環係包含:至少在前述第2處理氣體的供給期間進行供給前述第3處理氣體的工程之工程。
  3. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述循環係包含:至少在前述第2處理氣體的供給停止期間進行供給前述第3處理氣體的工程之工程。
  4. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述循環係包含:與供給前述第2處理氣體的工程同時進行供給前述第3處理氣體的工程之工程。
  5. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述循環係包含:比供給前述第2處理氣體的工程更先進行供給前述第3處理氣體的工程之工程。
  6. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述循環係包含:在終了供給前述第2處理氣體的 工程之後進行供給前述第3處理氣體的工程之工程。
  7. 如申請專利範圍第3項之半導體裝置的製造方法,其中,形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將在前述第2處理氣體的供給停止期間進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程之前述處理室內的壓力更大。
  8. 如申請專利範圍第5項之半導體裝置的製造方法,其中,形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將比供給前述第2處理氣體的工程更先進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程之前述處理室內的壓力更大。
  9. 如申請專利範圍第6項之半導體裝置的製造方法,其中,形成前述膜的工程係於處理室內收容前述基板的狀態下進行,將在終了供給前述第2處理氣體的工程之後進行供給前述第3處理氣體的工程之工程的前述處理室內的壓力形成比供給前述第2處理氣體的工程之前述處理室內的壓力更大。
  10. 如申請專利範圍第1項之半導體裝置的製造方法,其中,藉由控制供給前述第3處理氣體的工程之供給 條件,而來控制前述膜中的碳濃度。
  11. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述第2處理氣體係包含:在1分子中碳原子的數量比氮原子的數量更多的氣體。
  12. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述第2處理氣體係包含:在1分子中具有複數個含碳原子的配合基之氣體。
  13. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述第2處理氣體係包含:由胺及有機聯胺所構成的群選擇的至少1個。
  14. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述第3處理氣體係包含:以碳及氫的2元素所構成的氣體。
  15. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述第3處理氣體係包含碳化氫系氣體。
  16. 如申請專利範圍第1項之半導體裝置的製造方法,其中,前述預定元素係包含矽或金屬,前述鹵元素係包含氯或氟。
  17. 一種基板處理裝置,其特徵係具有:處理室,其係收容基板;第1氣體供給系,其係供給含預定元素及鹵元素的第1處理氣體至前述處理室內;第2氣體供給系,其係供給以碳,氮及氫的3元素所構成的第2處理氣體至前述處理室內; 第3氣體供給系,其係供給含碳的第3處理氣體至前述處理室內;及控制部,其係構成控制前述第1氣體供給系,前述第2氣體供給系及前述第3氣體供給系,而使能夠在進行預定次數循環之下,進行在前述基板上形成含前述預定元素,碳及氮的膜之處理,該循環係包含:對前述處理室內的基板供給前述第1處理氣體之處理;對前述處理室內的前述基板供給前述第2處理氣體之處理;及對前述處理室內的前述基板供給前述第3處理氣體之處理。
  18. 一種電腦可讀取的記錄媒體,其特徵係記錄有使程序實行於電腦的程式,該程序係於進行預定次數循環之下,在前述基板上形成含前述預定元素,碳及氮的膜,該循環係包含:對處理室內的基板供給含預定元素及鹵元素的第1處理氣體之程序;對前述處理室內的前述基板供給以碳,氮及氫的3元素所構成的第2處理氣體之程序;及對前述處理室內的前述基板供給含碳的第3處理氣體之程序。
TW103124130A 2013-10-21 2014-07-14 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium TWI555089B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013218296A JP5847783B2 (ja) 2013-10-21 2013-10-21 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体

Publications (2)

Publication Number Publication Date
TW201517173A true TW201517173A (zh) 2015-05-01
TWI555089B TWI555089B (zh) 2016-10-21

Family

ID=52826540

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103124130A TWI555089B (zh) 2013-10-21 2014-07-14 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium

Country Status (5)

Country Link
US (1) US9583338B2 (zh)
JP (1) JP5847783B2 (zh)
KR (1) KR101680940B1 (zh)
CN (1) CN104576329B (zh)
TW (1) TWI555089B (zh)

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6315699B2 (ja) * 2014-03-17 2018-04-25 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP5975556B1 (ja) * 2015-12-11 2016-08-23 上野精機株式会社 移載装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6479713B2 (ja) * 2016-07-11 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6441989B2 (ja) * 2017-04-27 2018-12-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6783888B2 (ja) 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP6827573B2 (ja) * 2020-01-27 2021-02-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172841A (ja) * 2020-04-22 2021-11-01 東京エレクトロン株式会社 成膜方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024135040A1 (ja) * 2022-12-22 2024-06-27 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP5109299B2 (ja) * 2005-07-07 2012-12-26 東京エレクトロン株式会社 成膜方法
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
TWI397115B (zh) * 2006-03-27 2013-05-21 Hitachi Int Electric Inc 半導體裝置的製造方法及基板處理裝置以及清潔方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
CN101624696A (zh) * 2008-07-11 2010-01-13 南亚科技股份有限公司 介电物质的沉积方法及其所应用的前驱体
JP5067381B2 (ja) * 2009-02-19 2012-11-07 東京エレクトロン株式会社 熱処理装置の運転方法
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012015344A (ja) 2010-07-01 2012-01-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5722450B2 (ja) 2011-08-25 2015-05-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035166B2 (ja) * 2013-02-26 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
CN104576329B (zh) 2017-06-13
TWI555089B (zh) 2016-10-21
JP5847783B2 (ja) 2016-01-27
KR20150045883A (ko) 2015-04-29
US9583338B2 (en) 2017-02-28
JP2015082525A (ja) 2015-04-27
CN104576329A (zh) 2015-04-29
KR101680940B1 (ko) 2016-11-29
US20150111395A1 (en) 2015-04-23

Similar Documents

Publication Publication Date Title
TWI555089B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP6049395B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6105967B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035166B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TWI479045B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
JP6247095B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6154215B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP5951443B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6035161B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI547995B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP5855691B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9234277B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6055879B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2016159232A1 (ja) 半導体装置の製造方法
JP2017139256A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
JP6239079B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2016034043A (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体