TW201429897A - 用於玻璃片與載具的受控接合之玻璃物件及方法 - Google Patents

用於玻璃片與載具的受控接合之玻璃物件及方法 Download PDF

Info

Publication number
TW201429897A
TW201429897A TW102145894A TW102145894A TW201429897A TW 201429897 A TW201429897 A TW 201429897A TW 102145894 A TW102145894 A TW 102145894A TW 102145894 A TW102145894 A TW 102145894A TW 201429897 A TW201429897 A TW 201429897A
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
glass
bonding
article
Prior art date
Application number
TW102145894A
Other languages
English (en)
Other versions
TWI601699B (zh
Inventor
Robert Alan Bellman
Dana Craig Bookbinder
Robert George Manley
Prantik Mazumder
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of TW201429897A publication Critical patent/TW201429897A/zh
Application granted granted Critical
Publication of TWI601699B publication Critical patent/TWI601699B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/06Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving heating of the applied adhesive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/266Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension of base or substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31609Particulate metal or metal compound-containing
    • Y10T428/31612As silicone, silane or siloxane

Abstract

可在片材(20)、載具(10)或兩者上提供表面改質層(30)及相關熱處理以控制該薄片與該載具之間的室溫凡得瓦爾(及/或氫)接合及高溫共價接合兩者。控制室溫接合以便在例如真空處理、濕式處理及/或超音波清潔處理期間足以將該薄片與該載具固持在一起。並且同時,可控制高溫共價接合以便防止該薄片與該載具在高溫處理期間永久接合,以及維持充分接合以防止在高溫處理期間分層。

Description

用於玻璃片與載具的受控接合之玻璃物件及方法
本申請案根據專利法主張2013年10月7日提出申請之美國申請案第14/047506號之優先權權益,且根據專利法主張2012年12月13日提出申請之美國臨時申請案第61/736,862號之優先權權益,該申請案及該臨時申請案之內容為本案之依據且全文以引用之方式併入本文中。
本發明針對用於在載具上處理可撓性片之物件及方法,且更特定言之,針對用於在玻璃載具上處理可撓性玻璃片之物件及方法。
可撓性基材提供使用捲軸式處理之更廉價元件的前景及製造更薄、更輕、更可撓且更耐久之顯示器的潛能。然而,尚未充分開發高品質顯示器之捲軸式處理所需之技術、設備及製程。由於面板製造者大量投資於處理較大玻璃片之工具套組,因此將可撓性基材層壓至載具且藉由單片連續式處理來製造顯示元件可提供用於產生更薄、更輕且更可撓之顯示器之價值主張的短期解決方案。已顯示處於聚合物片(例 如聚萘二甲酸伸乙酯(PEN))上之顯示器,其中元件製造為單片連續式,其中將該PEN層壓至玻璃載具。PEN之溫度上限會限制元件品質及可使用之製程。另外,聚合物基材之高滲透率導致OLED元件之環境降解,其中需要近氣密封裝。薄膜囊封提供克服此限制之前景,但尚未證明薄膜囊封在較大體積下可提供可接受之產率。
以類似方式,可使用層壓至一或多個薄玻璃基材之玻璃載具來製造顯示元件。預期薄玻璃之低滲透率及經改良之耐溫度性及耐化學物質性將使得能夠獲得效能更高、壽命更長之可撓性顯示器。
然而,對於與載具接合之薄玻璃而言,熱、真空、溶劑及酸性及超音波平板顯示器(Flat Panel Display,FPD)製程需要穩固接合。FPD製程通常涉及真空沈積(濺鍍金屬、透明導電氧化物及氧化物半導體;化學氣相沈積(Chemical Vapor Deposition,CVD)非晶矽、氮化矽及二氧化矽;及乾式蝕刻金屬及絕緣體)、熱製程(包括約300℃至400℃之CVD沈積、高達600℃之p-Si結晶、350℃至450℃之氧化物半導體退火、高達650℃之摻雜劑退火及約200℃至350℃之接觸退火)、酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑曝露(汽提光阻劑、沈積聚合物囊封)及超音波曝露(在溶劑汽提光阻劑及水淨化中,通常在鹼性溶液中)。
黏附性晶圓接合已廣泛用於微機械系統(Micromechanical Systems,MEMS)及半導體處理中之製程不太苛刻之後端步驟中。由Brewer Science及Henkel市售之 黏附劑通常為5至200微米厚的厚聚合物黏附層。此等層之較大厚度使得可能存在大量揮發性物質、截留之溶劑及吸附之物質從而污染FPD製程。此等材料在約250℃以上熱分解並且釋氣。該等材料亦可藉由在下游步驟中充當氣體、溶劑及酸之儲槽而造成污染,該儲槽可能在後續製程中釋氣。
2012年2月8日提出申請之標題為Processing Flexible Glass with a Carrier之美國臨時申請案第61/596,727號(在下文中,US '727)揭示以下概念:其中涉及最初藉由凡得瓦爾力(van der Waals forces)將薄片(例如可撓性玻璃片)接合於載具,隨後增加某些區域中之接合強度,同時保持在處理該薄片/載具以便在該薄片/載具上形成元件(例如電子元件或顯示元件、電子元件或顯示元件之組件、有機發光元件(organic light emitting device,OLED)材料、光伏打(photo-voltaic,PV)結構或薄膜電晶體)之後移除該薄片之部分的能力。將該薄玻璃之至少一部分接合於載具以防止元件製程流體進入該薄片與載具之間,藉此降低污染下游製程之概率,亦即,該薄片與載具之間的接合密封部分為氣密性的,且在一些較佳實施例中,此密封包圍物件外部,從而防止液體或氣體侵入或侵出密封物件之任何區域。
US '727繼續揭示在低溫多晶矽(low temperature polysilicon,LTPS)(與固相結晶處理相比之低溫,固相結晶處理可高達約750℃)元件製造製程中,可使用接近600℃或600℃以上之溫度、真空及濕式蝕刻環境。此等條件限制可使用之材料,且對載具/薄片提出較高要求。因此,所需要的為 一種利用製造商之現有資本基礎設施的載具方法,該方法使得能夠在較高處理溫度下處理薄玻璃(亦即,厚度≦0.3mm厚的玻璃)而不污染或損失該薄玻璃與載具之間的接合強度,並且其中該薄玻璃在該製程結束時容易與該載具解除接合。
US '727中所揭示之方法的一個商業優勢在於,如US '727中所指出,製造商將能夠利用其現有資本基礎設施處理設備,同時獲得例如用於PV、OLED、LCD及圖案化薄膜電晶體(Thin Film Transistor,TFT)電子元件之薄玻璃片的優勢。另外,該方法使得製程具有靈活性,包括:對薄玻璃片及載具進行清潔及表面預處理以促進接合;強化接合區域處薄板與載具之間的接合;維持非接合(或降低/低強度接合)區域處薄板與載具的可脫離性;及切割薄片以便有助於自載具抽出。
在玻璃-玻璃接合製程中,清潔玻璃表面以移除所有金屬、有機及顆粒殘餘物,並且留下主要以矽烷醇封端之表面。首先使該等玻璃表面密切接觸,其中凡得瓦爾接合力及/或氫接合力將該等玻璃表面吸引在一起。在存在熱及視情況存在之壓力的情況下,表面矽烷醇基團縮合以形成跨越界面之強共價Si-O-Si鍵,從而永久地融合該等玻璃片。金屬、有機及顆粒殘餘物將藉由遮蔽表面而妨礙接合,該遮蔽妨礙接合所需之密切接觸。亦需要高矽烷醇表面濃度來形成強接合,因為每單位面積之鍵數將由相對表面上之兩種矽烷醇物質反應而縮合出水的機率來決定。Zhuravlel已報導,對於充 分水合之二氧化矽,每nm2之平均羥基數為4.6至4.9。Zhuravlel,L.T.,The Surface Chemistry of Amorphous Silika,Zhuravlev Model,Colloids and Surfaces A:Physiochemical Engineering Aspects 173(2000)1-38。在US '727中,非接合區域形成於接合周邊內,且關於形成此種非接合區域所述之主要方式為增加表面粗糙度。平均表面粗糙度大於2nm Ra可防止在高溫接合製程期間形成玻璃-玻璃接合。在由相同發明者於2012年12月13日提出申請且標題為Facilitated Processing for Controlling Bonding Between Sheet and Carrier之美國臨時專利申請案第61/736,880號(在下文中,US '880)中,藉由控制載具與薄玻璃片之間的凡得瓦爾接合及/或氫接合來形成受控接合區域,但同時仍使用共價接合區域。因而,雖然US '727及US '880中用於處理薄片與載具之物件及方法能夠耐受對於一些應用不理想之苛刻FPD處理環境,但在藉由共價(例如Si-O-Si)接合以約1000至2000mJ/m2之黏附力(大約為玻璃之斷裂強度)接合之接合區域中,薄玻璃與玻璃載具之間的強共價接合妨礙載具之再使用。撬動或剝離不能用於分離薄玻璃之共價接合部分與載具,且因而無法自載具移除整個薄片。相反,劃割且抽取上面具有元件之非接合區域,從而將薄玻璃片之接合周邊留在載具上。
根據上文,需要一種薄片載具物件,該薄片載具物件可耐受包括高溫處理(不存在會與半導體或顯示器製造製程不相容的釋氣,半導體或顯示器製造製程將使用該薄片載 具物件)等苛刻FPD處理條件,而又允許自該載具移除整個薄片區域(一起或分部分),以便允許該載具再用於處理另一薄片。本說明書描述用於控制該載具與該薄片之間的黏附力以便產生臨時接合之方式,該臨時接合足夠強從而經受住FPD處理(包括LTPS處理),但又足夠弱從而允許將該片材與該載具解除接合,甚至在高溫處理後。此種受控接合可用於製造具有可再使用之載具的物件,或者具有載具與片材之間的受控接合及共價接合之圖案化區域的物件。更特定言之,本發明提供表面改質層(包括各種材料及相關表面熱處理),該等表面改質層可設置於該薄片、該載具或兩者上以控制該薄片與該載具之間的室溫凡得瓦爾接合及/或氫接合與高溫共價接合兩者。甚至更特定言之,可控制室溫接合以便足以在真空處理、濕式處理及/或超音波清潔處理期間將該薄片與該載具固持在一起。並且同時,可控制高溫共價接合以便防止在高溫處理期間該薄片與該載具之間的永久接合,以及維持足以防止在高溫處理期間分層的接合。在替代實施例中,該等表面改質層可用於製造各種受控接合區域(其中該載具及該片材經由各種製程保持充分接合,包括真空處理、濕式處理及/或超音波清潔處理)以及共價接合區域以提供其他處理選項,例如維持該載具與該片材之間的氣密度,即使在將該物件切割成較小片以便進行額外元件處理之後。此外,一些表面改質層可控制該載具與該片材之間的接合,而同時減少FPD(例如LTPS)處理環境(包括例如高溫及/或真空處理)中之苛刻條件期間的釋氣排放。
以下詳細描述中將闡述額外特徵及優勢,且熟習此項技術者根據該描述將顯而易見部分特徵及優勢,或藉由實踐如所著描述及所附圖式中所例示之各種態樣而認識到部分特徵及優勢。應理解,以上一般描述及以下詳細描述僅例示各種態樣,且意欲提供理解如所主張之本發明性質及特徵的概述或框架。
包括所附圖式以提供對本發明概念之進一步理解,且所附圖式併入本說明書中並且構成本說明書之一部分。該等圖式說明一或多個實施例,並且與描述一起用於說明例如本發明之概念及操作。應理解,本說明書及圖式中所揭示之各種特徵可用於任何及所有組合。作為非限制性實例,各種特徵可如所附申請專利範圍中所述彼此組合。
2‧‧‧玻璃物件
8‧‧‧玻璃物件之厚度
10‧‧‧載具
14‧‧‧接合表面
20‧‧‧薄片
26‧‧‧薄片之周邊
28‧‧‧薄片之厚度
30‧‧‧表面改質層
38‧‧‧表面改質層之厚度
40‧‧‧接合區域
50‧‧‧受控接合區域
52‧‧‧受控接合區域之周邊
56‧‧‧所要部分
57‧‧‧所要部分之周邊
760‧‧‧玻璃片堆疊
770‧‧‧玻璃片
771‧‧‧玻璃片
772‧‧‧玻璃片
776‧‧‧玻璃片之第一主表面
778‧‧‧玻璃片之第二主表面
780‧‧‧覆蓋片
781‧‧‧覆蓋片
790‧‧‧表面改質層
791‧‧‧介於片材770與片材771之間的界面
792‧‧‧介於片材770與片材772之間的界面
793‧‧‧介於覆蓋片781與片材771之間的界面
794‧‧‧介於片材772與覆蓋片780之間的界面
900‧‧‧載具
902‧‧‧表面
910‧‧‧覆蓋物
912‧‧‧覆蓋物表面
920‧‧‧間隔物
930‧‧‧腔室
940‧‧‧指示N2氣體流動方向之箭頭
第1圖為具有利用表面改質層與薄片接合之載具之物件的示意性側視圖,該表面改質層介於該載具與該薄片之間。
第2圖為第1圖中之物件的分解及部分剖示圖。
第3圖為二氧化矽上之表面羥基濃度隨溫度變化之圖表。
第4圖為經SC1清潔之玻璃片之表面能隨退火溫度變化之圖表。
第5圖為玻璃片上所沈積之含氟聚合物薄膜之表面能隨製造該膜之組成材料中之一者的百分比變化之圖表。
第6圖為藉由接合區域將薄片與載具接合的示意性 俯視圖。
第7圖為玻璃片堆疊之示意性側視圖。
第8圖為第7圖中之堆疊之一個實施例的分解視圖。
第9圖為測試裝置之示意性視圖。
第10圖為多種材料在不同條件下之表面能(第A圖之測試裝置之不同部分)相對於時間之圖表的集合。
第11圖為多種材料之氣泡區域百分比變化相對於溫度之圖表。
第12圖為多種材料之氣泡區域百分比變化相對於溫度之另一圖表。
在以下詳細描述中,出於說明而非限制之目的,闡述揭示特定細節之示例性實施例以便透徹理解本發明之各個概念。然而,已受益於本發明之熟習此項技術者應顯而易見,可在背離本文所揭示之特定細節的其他實施例中實踐本發明。此外,可省略對熟知元件、方法及材料之描述以免模糊對本發明之各個概念的描述。最後,在任何適用情況下,相同元件符號係指相同元件。
範圍在本文中可表示為自「約」一個特定值及/或至「約」另一特定值。當表示此種範圍時,另一實施例包括自該一個特定值及/或至該另一特定值。同樣,當藉由使用先行詞「約」將值表示為近似值時,應理解該特定值形成另一實施例。應進一步理解各範圍之終點顯然既與另一終點相關又獨立於另一終點。
如本文所用之方向術語,例如上、下、右、左、前、後、頂部、底部,僅參考所繪各圖給出,且不意欲暗示絕對定向。
如本文所用,除非上下文另外明確規定,否則單數形式「一(a/an)」及「該」包括複數指示物。因而,舉例而言,除非上下文另外明確指出,否則提及「組件」包括具有兩個或兩個以上此種組件之態樣。
在US '727及US '880兩者中,提供允許在載具上處理薄玻璃片之解決方案,藉此至少部分該薄玻璃片保持「非接合」,以使得在該薄玻璃片上處理之元件可自該載具移除。然而,該薄玻璃之周邊藉由形成共價Si-O-Si鍵而永久(或共價,或密閉)接合至該載具玻璃。此共價接合之周邊妨礙再使用該載具,因為無法在不損壞該薄玻璃及該載具的情況下移除此永久接合區中之該薄玻璃。
為維持有利表面形狀特徵,該載具通常為顯示器級玻璃基材。因此,在一些情形下,僅在一次使用後即棄置該載具為浪費且昂貴的。因而,為降低顯示器製造成本,需要能夠再使用該載具處理一個以上薄片基材。本發明闡述以下物件及方法,該等物件及方法使得能夠在FPD處理線之苛刻環境下處理薄片,該苛刻環境包括高溫處理,其中高溫處理為在≧400℃之溫度下處理且可視所製造之元件類型而變化,例如,在非晶矽或非晶氧化銦鎵鋅(indium gallium zinc oxide,IGZO)背板處理中,溫度高達約450℃,在結晶IGZO處理中高達約500℃至550℃,或在LTPS製程中典型高達約 600℃至650℃,而又仍允許在不損壞(例如,其中該載具及該薄片之一斷裂或破裂成兩片或更多片)該薄片或該載具的情況下將該薄片容易自該載具移除,藉此可再使用該載具。
如第1圖及第2圖中所示,玻璃物件2具有厚度8且包括具有厚度18之載具10、具有厚度28之薄片20(亦即,厚度≦300微米之薄片,該厚度包括但不限於例如10至50微米、50至100微米、100至150微米、150至300微米、300、250、200、190、180、170、160、150140、130、120、110、100、90、80、70、60、50、40、30、20或10微米之厚度)及具有厚度38之表面改質層30。該玻璃物件2經設計而允許在針對較厚片材(亦即,大約≧0.4mm之片材,例如0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm或1.0mm)設計之設備中處理薄片20,但該薄片20自身≦300微米。亦即,作為厚度18、28及38之總和的該厚度8經設計而等效於該較厚片材之厚度,針對該較厚片材設計一台處理設備(例如設計用於將電子元件組件安置於基材片上之設備)。舉例而言,假定厚度38可忽略,若該處理設備設計用於700微米片材且該薄片之厚度28為300微米,則厚度18將選擇為400微米。亦即,該表面改質層30未按比例顯示;相反,僅為說明起見將該表面改質層30大幅放大。另外,以剖示圖形式顯示該表面改質層。實際上,當提供可再使用之載具時,該表面改質層將均勻安置在接合表面14上。通常,厚度38將為大約數奈米,例如0.1至2.0或多達10nm,且在一些情況下可多達100nm。該厚度38可藉由橢偏儀加以量測。另外,可 藉由表面化學分析,例如藉由ToF Sims質譜來偵測表面改質層之存在。因此,厚度38對物件厚度8之貢獻為可忽略的,且在計算以確定用於處理具有厚度28之指定薄片20之載具10之適合厚度18時可忽略厚度38之貢獻。然而,在表面改質層30具有任何顯著厚度38之程度上,此厚度在針對薄片20之指定厚度28確定載具10之厚度18時可考慮在內,且針對指定厚度設計處理設備。
載具10具有第一表面12、接合表面14、周邊16及厚度18。此外,該載具10可具有任何適合材料,該材料包括例如玻璃。該載具不必為玻璃,而是相反可為陶瓷、玻璃-陶瓷或金屬(因為可用與下文結合玻璃載具所描述之方式相似的方式控制表面能及/或接合)。若由玻璃製造,則載具10可具有任何適合組成,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、蘇打石灰矽酸鹽,且可視載具10最終應用而含鹼或不含鹼。厚度18可為約0.2至3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm或更大,且將如上文所述視厚度28及厚度38(當厚度38不可忽略時)而定。另外,該載具10可由一個層(如所示)或接合在一起之多個層(包括多個薄片)組成。此外,該載具之大小可為Gen 1或更大,例如為Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,片材大小為100mm×100mm至3m×3m或更大)。
該薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16及26可具有任何適合形狀,可彼此相同或可彼此不同。此外,該薄片20可具有任何適合材料,該材 料包括例如玻璃、陶瓷或玻璃-陶瓷。當由玻璃製造時,薄片20可具有任何適合組成,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、蘇打石灰矽酸鹽,且可視薄片20最終應用而含鹼或不含鹼。該薄片之熱膨脹係數可相對緊密地與載具之熱膨脹係數相匹配,以防止該物件在處理期間在高溫下翹曲。如上文所述,該薄片20之厚度28為300微米或300微米以下。此外,該薄片之大小可為Gen 1或更大,例如Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,片材大小為100mm×100mm至3m×3m或更大)。
物件2不僅需要具有正確厚度以便在現有設備中處理,而且亦將需要能夠經受住進行處理之苛刻環境。舉例而言,平板顯示器(flat panel display,FPD)處理可包括濕式超音波、真空及高溫(例如≧400℃)處理。對於一些製程,如上文所述,溫度可≧500℃,或≧600℃,且高達650℃。
為經受住將處理物件2之苛刻環境,例如在FPD製造期間,接合表面14應以足夠強度接合於接合表面24以使得薄片20不會與載具10分離。且在整個處理中應維持此強度,以使得薄片20在處理期間不會與載具10分離。此外,為允許自載具10移除薄片20(以便可再使用載具10),接合表面14不應藉由最初設計之接合力及/或藉由可能發生之由最初設計之接合力改質而產生之接合力(例如,當物件在高溫(例如≧400℃之溫度)下進行處理時)過強地接合於接合表面24。表面改質層30可用於控制接合表面14與接合表面24之間的接合強度以便達成此兩個目標。藉由控制凡得瓦爾 接合(及/或氫接合)及共價吸引能對總黏附能之貢獻而達成受控接合力,總黏附能係藉由調節薄片20及載具10之極性與非極性表面能分量加以控制。此受控接合足夠強從而經受住FPD處理(包括濕式、超音波、真空及熱製程,包括≧400℃之溫度且在一些情況下包括≧500℃或≧600℃且高達650℃之處理溫度)且保持可藉由施加足夠分離力而又藉由不會對薄片20及/或載具10造成災難性損壞之力來解除接合。此種解除接合允許移除薄片20及該薄片上所製造之元件,並且亦允許再使用載具10。
雖然表面改質層30顯示為介於薄片20與載具10之間的固體層形式,但實際情況不一定如此。舉例而言,層30可為大約0.1至2nm厚,並且未必完全覆蓋接合表面14之每一點。舉例而言,覆蓋率可為≦100%、1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可能多達10nm厚,或在其他實施例中甚至多達100nm厚。表面改質層30可被視為安置在載具10與薄片20之間,但該表面改質層未必接觸載具10及薄片20中之一者或另一者。在任何情況下,表面改質層30之重要態樣為該表面改質層可改進接合表面14與接合表面24接合之能力,從而控制載具10與薄片20之間的接合強度。表面改質層30之材料及厚度以及在接合前處理接合表面14、24可用於控制載具10與薄片20之間的接合強度(黏附能)。
一般而言,兩個表面之間的黏附能由下式提供(「A theory for the estimation of surface and interfacial energies.I. derivation and application to interfacial tension」,L.A.Girifalco及R.J.Good,J.Phys.Chem.,第61卷,第904頁):W=γ 1+γ 2-γ 12 (1)
其中γ1、γ2及γ12分別為表面1、表面2之表面能及表面1與2之界面能。個別表面能通常為兩項之組合:分散分量γd及極性分量γpγ=γ d +γ p (2)。
當黏附主要歸因於倫敦分散力(London dispersion forces)(γd)及極性力(例如氫接合)(γp)時,界面能可由下式提供(Girifalco及R.J.Good,如上文所提及):
在將(3)代入(1)之後,黏附能可近似計算為:
在以上方程式(4)中,僅考慮黏附能之凡得瓦爾接合(及/或氫接合)分量。此等分量包括極性-極性相互作用(Keesom)、極性-非極性相互作用(Debye)及非極性-非極性相互作用(London)。然而,亦可存在其他吸引能,例如共價接合及靜電接合。因此,在更一般化之形式下,將以上等式寫作:
其中wc及we為共價黏附能及靜電黏附能。共價黏附能相當常見,如在矽晶圓接合中,其中將初步氫接合之成對晶圓加熱至較高溫度以便將大部分或所有矽烷醇-矽烷醇氫鍵轉化成Si-O-Si共價鍵。儘管初始室溫氫接合產生約100至200 mJ/m2左右之黏附能,該黏附能允許分離接合之表面,但在高溫處理(大約400℃至800℃)期間達成之完全共價接合之成對晶圓具有約1000至3000mJ/m2之黏附能,該黏附能不允許分離接合之表面;相反,該兩個晶圓充當一個整體。另一方面,若兩個表面均以足以屏蔽下層基材之效應的厚度完全塗佈低表面能材料(例如含氟聚合物),則黏附能將為塗佈材料之黏附能且將極低,從而在接合表面14、24之間產生低黏附或無黏附,藉此薄片20將不能夠在載具10上進行處理。考慮兩種極端情況:(a)兩個標準清潔1(SC1,如此項技術中已知)用矽烷醇基團飽和之經清潔玻璃表面在室溫下經由氫接合而接合在一起(藉此黏附能為約100至200mJ/m2),隨後加熱至高溫,由此將矽烷醇基團轉化成共價Si-O-Si鍵(藉此黏附能變為1000至3000mJ/m2)。此後一個黏附能過高以致成對玻璃表面不可脫離;及(b)使完全塗佈有低表面黏附能含氟聚合物之兩個玻璃表面(每表面約12mJ/m2)在室溫下接合,且加熱至高溫。該等表面不僅在此後一種情況(b)下不接合(因為當將該等表面放在一起時約24mJ/m2之總黏附能過低),而且在高溫下亦不接合,因為不存在或(存在過少)極性反應基團。在此兩種極端情況之間,存在黏附能範圍,例如介於50至1000mJ/m2之間的黏附能,該黏附能可產生所要程度之受控接合。因此,發明者已發現各種提供表面改質層30之方式產生介於此兩種極端情況之間的黏附能,且使得可產生受控接合,該受控接合足以維持成對玻璃基材(例如玻璃載具10及薄玻璃片20)在苛刻FPD處理條件下彼此 接合並且接合程度(即使在例如≧400℃之高溫處理後)在處理完成後允許薄片20與載具10脫離。此外,可藉由機械力脫離薄片20與載具10,並且以此方式至少對薄片20不會造成災難性損壞,並且較佳亦對載具10不造成災難性損壞。
方程式(5)描述黏附能隨四個表面能參數加共價能及靜電能(若存在)變化。
可藉由審慎選擇表面改質劑(亦即,表面改質層30)及/或在接合之前熱處理表面來達成適當黏附能。可藉由選擇接合表面14及接合表面24中之一或兩者之化學改質劑來獲得適當黏附能,該黏附能又控制凡得瓦爾接合(及/或氫接合,因為此等術語在本說明書中可互換使用)黏附能以及由高溫處理(例如,大約≧400℃)產生之可能之共價接合黏附能。舉例而言,獲取SC1清潔玻璃之接合表面(該接合表面最初用矽烷醇基團飽和而具有表面能之高極性分量)且用低能含氟聚合物塗佈該接合表面以控制極性及非極性基團對表面之覆蓋率。由此不僅可控制室溫下之初始凡得瓦爾(及/或氫)接合,而且可控制較高溫度下之共價接合程度/度。控制室溫下之初始凡得瓦爾(及/或氫)接合以便提供一個表面與另一表面之接合以允許真空及或旋轉-沖洗-乾燥(SRD)型處理,且在一些情況下亦提供一個表面與另一表面之容易形成之接合,其中該容易形成之接合可在室溫下在不向薄片20之整個區域施加外部施加之力的情況下進行,如同用刮漿板或減壓環境將薄片20按壓至載具10時所進行。亦即,初始凡得瓦爾接合至少提供最低接合度,從而將薄片與載具固持在一 起,以使得若固持一者而允許另一者經受重力,則薄片及載具不會分離。在大部分情況下,初始凡得瓦爾(及/或氫)接合將達到以下程度:該物件亦可在薄片與載具不分層的情況下經歷真空、SRD及超音波處理。經由表面改質層30(包括製造該表面改質層之材料及/或該表面改質層施加之表面之表面處理)及/或藉由在將該等接合表面接合在一起之前熱處理該等接合表面而將凡得瓦爾接合(及/或氫接合)及共價相互作用兩者精確控制在適當程度下,由此達成所要黏附能,該黏附能允許薄片20與載具10在整個FPD類型處理中接合,而同時允許在FPD類型處理之後將薄片20與載具10分離(藉由適當力,從而避免損壞薄片20及/或載具)。另外,在適當情況下,可向一或兩個玻璃表面施加靜電電荷以提供黏附能之另一控制程度。
FPD處理(例如p-Si及氧化物TFT製造)通常涉及溫度高於400℃、高於500℃及在一些情況下處於或高於600℃、高達650℃之熱製程,在不存在表面改質層30的情況下,該等熱製程會導致薄玻璃片20與玻璃載具10發生玻璃-玻璃接合。因此控制形成Si-O-Si接合產生可再使用之載具。一種控制高溫下形成Si-O-Si接合之方法為降低欲接合之表面上的表面羥基濃度。
如第3圖中所示,該圖為二氧化矽上之表面羥基濃度隨溫度變化之伊萊爾氏曲線圖(Iler's plot)(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979),每平方奈米之羥基(OH基團)數目隨表面溫度增加而降低。因 而,加熱二氧化矽表面(及以此類推之玻璃表面,例如接合表面14及/或接合表面24)可降低表面羥基之濃度,從而降低兩個玻璃表面上之羥基將相互作用之機率。此表面羥基濃度降低又減少每單位面積形成之Si-O-Si鍵,從而降低黏附力。然而,消除表面羥基需要在高溫下持續較長退火時間(高於750℃以完全消除表面羥基)。此種較長退火時間及較高退火溫度使得製程較為昂貴並且不切實際,因為有可能高於典型顯示器玻璃之應變點。
根據以上分析,發明者已發現可藉由權衡以下三個概念來製造包括薄片及載具且適合於FPD處理(包括LTPS處理)之物件:(1)藉由控制初始室溫接合來改質載具及/或薄片接合表面,此控制可藉由控制凡得瓦爾(及/或氫)接合來進行,以便產生中等黏附能(例如在表面接合之前,每表面之表面能為>40mJ/m2)以有助於初始室溫接合且足以經受住非高溫FPD製程,例如真空處理、SRD處理及/或超音波處理;(2)以熱穩定方式對載具及/或薄片進行表面改質以便在不釋氣的情況下經受住FPD製程,在元件製造中,該釋氣可導致分層及/或不可接受之污染,例如,對於可能使用該物件之半導體及/或顯示器製造製程而言不可接受之污染;及(3)控制高溫下之接合,此控制可藉由控制載具表面羥基濃度及在高溫(例如,溫度≧400℃)下能夠形成強共價鍵之其他物質之濃度來進行,藉此可控制該載具之接合表面與該薄片之接合表面之間的接合能,以使得即使在高溫處 理(尤其是經由在500℃至650℃範圍內之熱製程,如在FPD製程中)之後,該載具與該薄片之間的黏附力保持在允許用一定分離力解除該薄片與該載具之接合的範圍內,該分離力至少不損壞該薄片(且較佳不損壞該薄片或該載具)而又足以維持該載具與該薄片之間的接合以使該載具與該薄片在處理期間不分層。
此外,發明者已發現,使用表面改質層30以及適當時之接合表面預處理可在以上概念之間取得平衡,以便容易達成受控接合區域,亦即,在薄片20與載具10之間提供充分室溫接合從而允許在FPD型製程(包括真空及濕式製程)中處理物件2而又控制薄片20與載具10之間的共價接合(即使在≧400℃之高溫下)以便允許在該物件2已完成高溫處理(例如FPD型處理或LTPS處理)之後自載具10移除薄片20(至少不損壞該薄片,且較佳亦不損壞該載具)的接合區域。為評估將提供適於FPD處理之可再使用載具的潛在接合表面預處理及表面改質層,使用一系列測試來評估各自之適合性。不同的FPD應用具有不同的要求,但LTPS及氧化物TFT製程此時看似為最嚴格的,且因而選擇代表此等製程中之步驟的測試,因為此等製程為物件2之所要應用。真空製程、濕式清潔(包括SRD及超音波型製程)及濕式蝕刻對於許多FPD應用為常見的。典型aSi TFT製造需要在高達320℃下進行處理。在氧化物TFT製程中使用400℃下之退火,而在LTPS處理中,使用在600℃以上進行之結晶及摻雜劑活化步驟。因此,使用以下五項測試來評估特定接合表面預處理及表面改 質層30會允許薄片20在整個FPD處理中保持與載具10接合,同時允許在此種處理(包括在溫度≧400℃下之處理)之後自載具10移除薄片20(而不損壞薄片20及/或載具10)的可能性。按順序進行該等測試,且除非存在將不允許進行隨後測試之未通過類型,否則樣品自一項測試進入下一項測試。
(1)真空測試。在STS Multiplex PECVD真空鎖(獲自SPTS,Newport,UK)中進行真空相容性測試,藉由具有軟泵閥之Ebara A10S乾式泵(獲自Ebara Technologies Inc.,Sacramento,CA)抽吸該真空鎖。將樣品置於該真空鎖中,隨後在45秒內將該真空鎖自大氣壓抽吸降至70mTorr。若存在以下情況,則認為已發生下表之「真空」行中以標記「F」指示之未通過:(a)載具與薄片之間的黏附有所損失(藉由用肉眼直觀檢查,其中若薄片自載具脫落或與載具部分解除接合,則認為未通過);(b)載具與薄片之間發生鼓泡(如藉由用肉眼直觀檢查所確定:在處理前後將樣品照相,隨後比較,若缺陷大小方面所增加之尺寸對肉眼可見,則確定為已發生未通過);或(c)薄片相對於載具移動(如藉由用肉眼直觀觀測所確定:在測試前後將樣品照相,其中若接合缺陷(例如氣泡)移動,或若邊緣解除接合,或若薄片在載具上移動,則認為未通過)。在下表中,「真空」行中之標記「P」指示根據上述準則,樣品並非未通過。
(2)濕式製程測試。使用Semitool型號SRD-470S(獲自Applied Materials,Santa Clara,CA)進行濕式製程相容性測試。該測試由以下組成:在暖氮氣流下以500rpm沖 洗60秒,以500rpmQ沖洗至15MΩ-cm,以500rpm吹掃10秒,以1800rpm乾燥90秒,及以2400rpm乾燥180秒。若存在以下情況,則認為已發生如下表之「SRD」行中以標記「F」所指示之未通過:(a)載具與薄片之間的黏附有所損失(藉由用肉眼直觀檢查,其中若薄片自載具脫落或與載具部分解除接合,則認為未通過);(b)載具與薄片之間發生鼓泡(如藉由用肉眼直觀檢查所確定:在處理前後將樣品照相,隨後比較,若缺陷大小方面所增加之尺寸對肉眼可見,則確定為已發生未通過);或(c)薄片相對於載具移動(如藉由用肉眼直觀觀測所確定:在測試前後將樣品照相,其中若接合缺陷(例如氣泡)移動,或若邊緣解除接合,或若薄片在載具上移動,則認為未通過);或(d)水滲透至薄片下(如藉由用光學顯微鏡在50×下直觀檢查所確定,其中若可觀測到液體或殘餘物,則確定為已發生未通過)。在下表中,「SRD」行中之標記「P」指示根據上述準則,樣品並非未通過。
(3)溫度達400℃之測試。使用Alwin21 Accuthermo610 RTP(獲自Alwin21,Santa Clara,CA)進行400℃製程相容性測試。在以6.2℃/min自室溫循環至400℃、在400℃下保持600秒且以1℃/min冷卻至300℃之腔室中加熱與薄片接合之載具。隨後允許載具及薄片冷卻至室溫。若存在以下情況,則認為已發生如下表之「400℃」行中以標記「F」所指示之未通過:(a)載具與薄片之間的黏附有所損失(藉由用肉眼直觀檢查,其中若薄片自載具脫落或與載具部分解除接合,則認為已發生未通過);(b)載具與薄片之間發生 鼓泡(如藉由用肉眼直觀檢查所確定:在處理前後將樣品照相,隨後比較,若缺陷大小方面所增加之尺寸對肉眼可見,則確定為已發生未通過);或(c)載具與薄片之間的黏附增強,藉此,此種黏附增強在不損壞薄片或載具的情況下防止薄片與載具解除接合(藉由在薄片與載具之間插入剃刀片,及/或藉由將一片1"寬×6"長之KaptonTM膠帶黏住薄片且拉動膠帶,其中2至3"膠帶連接於100mm2薄玻璃(得自Saint Gobain Performance Plastic(Hoosik,NY)之K102系列)),其中若在試圖分離薄片與載具時薄片或載具存在損壞,或若無法藉由執行任何解除接合方法將薄片與載具解除接合,則認為已發生未通過。另外,在薄片與載具接合之後且在熱循環之前,對代表性樣品進行解除接合測試以確定特定材料(包括任何相關表面處理)在溫度循環之前確實允許將薄片與載具解除接合。在下表中,「400℃」行中之標記「P」指示根據上述準則,樣品並非未通過。
(4)溫度達600℃之測試。使用Alwin21 Accuthermo610 RTP進行600℃製程相容性測試。在以9.5℃/min自室溫循環至600℃、在600℃下保持600秒,隨後以1℃/min冷卻至300℃之腔室中加熱載具與薄片。隨後允許載具及薄片冷卻至室溫。若存在以下情況,則認為已發生如下表之「600℃」行中以標記「F」所指示之未通過:(a)載具與薄片之間的黏附有所損失(藉由用肉眼直觀檢查,其中若薄片自載具脫落或與載具部分解除接合,則認為未通過);(b)載具與薄片之間發生鼓泡(如藉由用肉眼直觀檢查所確定: 在處理前後將樣品照相,隨後比較,若缺陷大小方面所增加之尺寸對肉眼可見,則確定為已發生未通過);或(c)載具與薄片之間的黏附增強,藉此,此種黏附增強在不損壞薄片或載具的情況下防止薄片與載具解除接合(藉由在薄片與載具之間插入剃刀片,及/或藉由將如上文所述之一片KaptonTM膠帶黏住薄片且拉動膠帶,其中若在試圖分離薄片與載具時薄片或載具存在損壞,或若無法藉由執行任何解除接合方法將薄片與載具解除接合,則認為已發生未通過。另外,在薄片與載具接合之後且在熱循環之前,對代表性樣品進行解除接合測試以確定特定材料及任何相關表面處理在溫度循環之前確實允許將薄片與載具解除接合。在下表中,「600℃」行中之標記「P」指示根據上述準則,樣品並非未通過。
(5)超音波測試。藉由在四槽線路中清潔物件來進行超音波相容性測試,其中自第1號槽至第4號槽依序在各槽中處理該物件。對於四個槽中之每一者,槽尺寸為18.4"長×10"寬×15"深。兩個清潔槽(第1號及第2號)含有含1% Semiclean KG(獲自Yokohama Oils and Fats Industry Co Ltd.,Yokohama,Japan)之50℃ DI水。用NEY prosonik 2 104kHz超音波發生器(獲自Blackstone-NEY Ultrasonics,Jamestown,NY)攪拌第1號清潔槽,且用NEY prosonik 2 104kHz超音波發生器攪拌第2號清潔槽。兩個沖洗槽(第3號槽及第4號槽)含有50℃ DI水。藉由NEY sweepsonik 2D 72kHz超音波發生器攪拌第3號沖洗槽且藉由NEY sweepsonik 2D 104kHz超音波發生器攪拌第4號沖洗槽。在第1號槽至 第4號槽中之每一者中進行該等製程10分鐘,隨後在自第4號槽中移出樣品之後進行旋轉沖洗乾燥(SRD)。若存在以下情況,則認為已發生如下表之「超音波」行中以標記「F」所指示之未通過:(a)載具與薄片之間的黏附有所損失(藉由用肉眼直觀檢查,其中若薄片自載具脫落或與載具部分解除接合,則認為已發生未通過);(b)載具與薄片之間發生鼓泡(如藉由用肉眼直觀檢查所確定:在處理前後將樣品照相,隨後比較,若缺陷大小方面所增加之尺寸對肉眼可見,則確定為已發生未通過);或(c)形成其他明顯缺陷(如藉由用光學顯微鏡在50×下直觀檢查所確定,其中若薄玻璃與載具之間存在先前未觀測到之捕獲粒子,則認為已發生未通過);或(d)水滲透至薄片下(如藉由用光學顯微鏡在50×下直觀檢查所確定,其中若可觀測到液體或殘餘物,則確定為已發生未通過)。在下表中,「超音波」行中之標記「P」指示根據上述準則,樣品並非未通過。另外,在下表中,「超音波」行中之空白指示未以此方式測試樣品。
經由以加熱減少羥基進行接合表面處理
用表面改質層30改質接合表面14、24中之一或多者以使得物件2能夠成功進行FPD處理(亦即,其中薄片20在處理期間保持與載具10接合,而又可在處理(包括高溫處理)之後與載具10分離)的益處係藉由在玻璃載具10與薄玻璃片20之間不存在表面改質層30的情況下處理具有玻璃載具10及薄玻璃片20之物件2來證明。特定言之,首先藉由加熱以減少羥基但在不存在表面改質層30的情況下嘗試對 接合表面14、24進行預處理。清潔載具10及薄片20,使接合表面14及24彼此接合,隨後測試物件2。用於預處理玻璃以供接合之典型清潔製程為SC1清潔製程,其中在稀過氧化氫及鹼(通常為氫氧化銨,但亦可使用氫氧化四甲銨溶液,例如JT Baker JTB-100或JTB-111)中清潔玻璃。清潔自接合表面移除粒子,且使得表面能為已知的,亦即,該清潔提供基線表面能。清潔方式不必為SC1,可使用其他類型清潔,因為清潔類型可能僅對表面上之矽烷醇基團具有極微小之作用。各種測試之結果闡述於以下表1中。
藉由簡單清潔100mm2×100微米厚之薄玻璃片及呈0.50或0.63mm厚之150mm直徑單片中型扁平(single mean flat,SMF)晶圓形式之玻璃載具來產生較強但可分離之初始室溫或凡得瓦爾接合及/或氫接合,該薄玻璃片及該玻璃載具各自包含Eagle XG®顯示器玻璃(不含鹼之鋁硼矽酸鹽玻璃,平均表面粗糙度Ra大約為0.2nm,獲自Corning Incorporated,Corning,NY)。在此實例中,在65℃ 40:1:2 DI水:JTB-111:過氧化氫浴中清潔玻璃10分鐘。薄玻璃或玻璃載具可能或可能未在400℃下在氮氣中退火10分鐘以移除殘餘水,以下表1中之「載具」行或「薄玻璃」行中之標記「400℃」指示樣品在400℃下在氮氣中退火10分鐘。FPD製程相容性測試顯示此SC1-SC1初始室溫接合之機械強度足以通過真空、SRD及超音波測試。然而,在400℃下及400℃以上的加熱在薄玻璃與載具之間產生永久接合,亦即,無法在不損壞薄玻璃片及載具中之一或兩者的情況下自載具移除薄玻璃 片。並且即使對於實例1c情況亦如此,其中載具及薄玻璃各自具有退火步驟以降低表面羥基濃度。因此,上文所描述之經由單獨加熱對接合表面14、24進行預處理隨後在不存在表面改質層30的情況下接合載具10與薄片12對於FPD製程(其中溫度將≧400℃)而言並非適合受控之接合。
藉由減少羥基及表面改質層來預處理接合表面
減少羥基(例如藉由熱處理)及表面改質層30可一起用來控制接合表面14、24之間的相互作用。舉例而言,可控制接合表面14、24之接合能(由於極性/分散能分量所致之室溫凡得瓦爾接合及/或氫接合,及由於共價能分量所致之高溫共價接合)以便提供變化之接合強度,該接合強度為自難以進行室溫接合至易於進行室溫接合及在高溫處理後分離接合表面至在高溫處理後妨礙在無損壞情況下分離表面。在一些應用中,可能需要不具有或具有極弱接合(如當表面處於「非接合」區域中時,如US '727之薄片/載具概念中所述及如下文所述之「非接合」區域)。在其他例如提供用於FPD製程及其類似製程(其中可達成≧500℃或≧600℃且高達650℃之製程溫度)之可再使用之載具的應用中,需要在室溫下具有充分凡得瓦爾接合及/或氫接合以便最初將薄片及載具放在一起,而又防止或限制高溫共價接合。對於其他應用,可能需 要具有充分室溫接合以便最初將薄片及載具放在一起,並且在高溫下亦產生強共價接合(如當表面處於「接合區域」中時,如US '727之薄片/載具概念中所述及如下文所論述之「接合區域」)。雖然不希望受理論束縛,但在一些情況下,表面改質層可用於控制室溫接合,藉由室溫接合最初將薄片及載具放在一起,而減少表面上之羥基(舉例而言,如藉由加熱表面,或藉由使羥基與表面改質層反應)可用於控制共價接合,尤其是高溫共價接合。
用於表面改質層30之材料可提供具有一定能量(例如如針對一個表面所量測<40mJ/m2且包括極性及分散分量之能量)之接合表面14、24,藉此該表面僅產生弱接合。在一個實例中,六甲基二矽氮烷(hexamethyldisilazane,HMDS)可藉由與表面羥基反應以留下三甲基矽烷基(trimethylsilyl,TMS)封端表面而用於製造此低能表面。作為表面改質層之HMDS可與表面加熱一起使用以降低羥基濃度,從而控制室溫及高溫接合兩者。藉由選擇適於各接合表面14、24之接合表面預處理,可達成具有一定能力範圍的物件。更特定言之,在對提供用於LTPS處理之可再使用之載具感興趣的情況下,可在薄玻璃片20與玻璃載具10之間達成適合接合以便經受住(或通過)真空SRD、400℃(第a部分及第c部分)及600℃(第a部分及第c部分)處理測試中之每一者。
在一個實例中,在藉由HMDS處理薄玻璃及載具兩者進行SC1清潔後產生弱接合表面,該表面以凡得瓦爾(及/或氫接合)力挑戰室溫接合。施加機械力以接合薄玻璃與載 具。如表2之實例2a中所示,此接合足夠弱從而在真空測試及SRD處理中觀測到載具偏轉,在400℃及600℃熱製程中觀測到鼓泡(可能由於釋氣所致),且在超音波處理之後觀測到顆粒缺陷。
在另一實例中,僅對一個表面(所引用之實例中之載具)進行HMDS處理產生較強室溫黏附,該黏附可經受住真空及SRD處理。然而,400℃及400℃以上之熱製程使薄玻璃與載具永久接合。此結果不出所料,因為三甲基矽烷基於二氧化矽上之最大表面覆蓋率已由Sindorf及Maciel於J.Phys.Chem.1982,86,5208-5219中計算為2.8/nm2且由Suratwala等人於Journal of Non-Crystalline Solids 316(2003)349-363中量測為2.7/nm2,相對於完全羥基化二氧化矽之羥基濃度4.6-4.9/nm2。亦即,雖然三甲基矽烷基確實與一些表面羥基接合,但仍將存在一些未接合之羥基。因而將預期若給予充足時間及溫度,則表面矽烷醇基之縮合將使薄玻璃與載具永久接合。
可藉由在HMDS曝露之前加熱玻璃表面以降低表面羥基濃度來產生改變之表面能,從而增加表面能之極性分量。此舉降低在高溫下形成共價Si-O-Si鍵之驅動力且產生較強室溫接合,例如凡得瓦爾(及/或氫)接合。第4圖顯示Eagle XG®顯示器玻璃載具在退火之後及在HMDS處理之後的表面能。增加退火溫度隨後進行HMDS曝露可藉由在HMDS曝露之後增加極性貢獻(線404)而增加總(極性及分散)表面能(線402)。亦可見熱處理對總表面能之分散貢獻(線406)在 很大程度上保持不變。雖然不希望受理論束縛,但在HMDS處理之後增加表面能之極性分量且從而增加總表面能看似歸因於在HMDS處理之後由於HMDS之亞單層TMS覆蓋率而曝露一些玻璃表面積。
在實例2b中,在150℃之溫度下在真空中加熱薄玻璃片一小時,隨後與未經熱處理且具有HMDS塗層之載具接合。薄玻璃片之此熱處理不足以防止薄玻璃片與載具在≧400℃之溫度下永久接合。
如表2之實例2c至2e中所示,改變玻璃表面之退火溫度隨後進行HMDS曝露可改變玻璃表面之接合能,以便控制玻璃載具與薄玻璃片之間的接合。
在實例2c中,在190℃之溫度下在真空中將載具退火1小時,隨後進行HMDS曝露以獲得表面改質層30。另外,在450℃下在真空中將薄玻璃片退火1小時,接著與載具接合。所得物件經受住真空、SRD及400℃測試(第a部分及第c部分,但由於鼓泡增加而未通過第b部分),但未通過600℃測試。因此,雖然與實例2b相比耐高溫接合性有所增加,但此增加不足以製造可在≧600℃之溫度下進行處理(例如LTPS處理)之物件,其中載具為可再使用的。
在實例2d中,在340℃之溫度下在真空中將載具退火1小時,隨後進行HMDS曝露以獲得表面改質層30。再次在450℃下在真空中將薄玻璃片退火1小時,接著與載具接合。結果與實例2c中之結果相似,其中物件經受住真空、SRD及400℃測試(第a部分及第c部分,但由於鼓泡增加而未通 過第b部分),但未通過600℃測試。
如實例2e中所示,在450℃下在真空中將薄玻璃及載具兩者退火1小時,隨後對載具進行HMDS曝露,隨後接合載具與薄玻璃片,由此可改良永久接合之耐溫度性。在450℃下將兩個表面均退火可防止在600℃下進行RTP退火10分鐘之後發生永久接合,亦即,此樣品通過600℃處理測試(第a部分及第c部分,但由於鼓泡增加而未通過第b部分;在400℃測試中獲得相似結果)。
在以上實例2a至2e中,載具及薄片各自為Eagle XG®玻璃,其中該載具為630微米厚之150mm直徑SMF晶圓且該薄片為100mm2、100微米厚。HMDS係藉由在YES-5 HMDS烘箱(獲自Yield Engineering Systems,San Jose,CA)中進行脈衝式氣相沈積來塗覆且為一個原子層厚(亦即,約0.2至1nm),但表面覆蓋率可小於一個單層,亦即,一些表面羥基未被HMDS覆蓋,如Maciel所指出及上文所論述。由於表面改質層之厚度較小,幾乎不存在釋氣風險,釋氣可能在元件製造中引起污染。此外,如表2中以「SC1」標記所指示,使用SC1製程清潔載具及薄片中之每一者,隨後進行熱處理或任何後續HMDS處理。
比較實例2a與實例2b顯示可藉由改變表面數目來控制薄片與載具之間的接合能,該等表面包括表面改質層。並且控制接合能可用於控制兩個接合表面之間的接合力。此外,比較實例2b至2e顯示可藉由改變在塗覆表面改質材料之前接合表面進行之熱處理的參數來控制表面之接合能。再次,熱處理可用於降低表面羥基數目,且因而控制共價接合,尤其是高溫共價接合之程度。
其他可以不同方式起作用從而控制接合表面上之表面能的材料可用於表面改質層30,以便控制兩個表面之間的室溫及高溫接合力。舉例而言,若一或兩個接合表面經表面改質層改質以產生中等接合力,則亦可產生可再使用之載具,該表面改質層覆蓋或在空間上阻礙物質(例如羥基)以防止載具與薄片之間在高溫下形成強永久共價鍵。一種產生可調表面能且覆蓋表面羥基以防止形成共價鍵之方式為沈積電漿聚合物膜,例如含氟聚合物膜。電漿聚合在大氣壓或減壓及電漿激發(DC或RF平行板、感應偶合電漿(ICP)、電子迴旋共振(ECR)下游微波或RF電漿)下自氣體來源沈積薄聚合物膜,該等氣體來源為例如氟碳化物來源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氯氟碳化物或氫氯氟碳化物);烴,例如烷烴(包括甲烷、乙烷、丙烷、丁烷)、烯烴(包括乙烯、丙烯)、炔烴(包括乙炔)及芳族烴(包括苯、甲苯);氫氣;及其他氣體來源,例如SF6。電漿聚合產生高度交聯之材料層。控制反應條件及氣體來源可用於控制膜厚度、密度及化學性質以針對所要應用定製官能基。
第5圖顯示利用Oxford ICP380蝕刻工具(獲自Oxford Instruments,Oxfordshire UK)由CF4-C4F8混合物沈積之電漿聚合型含氟聚合物(PPFP)膜之總(線502)表面能(包括極性分量(線504)及分散分量(線506))。該等膜係沈積於Eagle XG®玻璃片上,且光譜橢圓偏光法顯示該等膜為1至10nm厚。如由第5圖可見,經含有少於40% C4F8之電漿聚合型含氟聚合物膜處理之玻璃載具展現表面能>40mJ/m2,且在室溫下藉由凡得瓦爾接合或氫接合在薄玻璃與載具之間產生受控接合。當起初在室溫下接合載具與薄玻璃時觀測到有助於接合。亦即,當將薄片置於載具上且在一個點處將薄片與載具按壓在一起時,波前跨越載具行進,但速度低於對上面無表面改質層之經SC1處理之表面所觀測的速度。受控接合足以耐受所有標準FPD製程,包括真空、濕式、超音波及高達600℃之熱製程,亦即,此受控接合在不存在薄玻璃自載具移動或薄玻璃與載具分層的情況下通過600℃處理測試。藉由用如上文所述之剃刀片及/或KaptonTM膠帶剝離來解除接合。兩種不同的PPFP膜(如上所述加以沈積)之製程相容性示於表3中。實例3a之PPFP 1係以C4F8/(C4F8+CF4)=0形成,亦即,用CF4/H2而不用C4F8形成,且實例3b之PPFP 2係以C4F8/(C4F8+CF4)=0.38沈積。兩種類型之PPFP膜均經受住真空、SRD、400℃及600℃處理測試。然而,在對PPFP 2進行20分鐘超音波清潔之後觀測到分層,表明黏附力不足以耐受此種處理。儘管如此,表面改質層PPFP2可用於一些應用,因為其中超音波處理並非必需的。
在以上實例3a及3b中,載具及薄片各自為Eagle XG®玻璃,其中該載具為630微米厚之150mm直徑SMF晶圓且該薄片為100mm2、100微米厚。由於表面改質層之厚度較小,幾乎不存在釋氣風險,釋氣可能在元件製造中引起污染。此外,由於表面改質層看似不降解,故而釋氣風險甚至更小。此外,如表3中所指示,使用SC1製程清潔各薄片,隨後在150℃下在真空中熱處理一小時。
仍有可以不同方式起作用從而控制表面能之其他材料可用作表面改質層,以便控制薄片與載具之間的室溫及高溫接合力。舉例而言,可藉由對玻璃載具及/或玻璃薄片進行矽烷處理來製造可產生受控接合之接合表面。選擇矽烷以便產生適合表面能並且以便具有足以用於應用之熱穩定性。可藉由例如O2電漿或UV-臭氧及SC1或標準清潔2(SC2,如此項技術中已知)清潔等製程來清潔欲處理之載具或薄玻璃以移除會干擾矽烷與表面矽烷醇基反應之有機物及其他雜質(例如金屬)。亦可使用基於其他化學反應之洗滌,例如HF或H2SO4洗滌化學反應。載具或薄玻璃可在矽烷塗覆(如上文結合HMDS表面改質層所論述)之前加熱以控制表面羥基濃度及/或可在矽烷塗覆之後加熱以完成與表面羥基之矽烷縮合。可在接合之前使得矽烷化後未反應羥基之濃度足夠低,以便防止薄玻璃與載具在≧400℃之溫度下發生永久接合,亦 即,以便形成受控接合。此方法描述於下文中。
實例4a
隨後用含1%十二烷基三乙氧基矽烷(dodecyltriethoxysilane,DDTS)之甲苯處理接合表面經O2電漿及SC1處理之玻璃載具,且在150℃下在真空中退火1小時以完成縮合。經DDTS處理之表面展現45mJ/m2之表面能。如表4中所示,將玻璃薄片(已經SC1清潔且在400℃下在真空中加熱一小時)接合於上面具有DDTS表面改質層之載具接合表面。此物件經受住濕式及真空製程測試,但在沒有由於矽烷熱分解而於載具下方形成氣泡的情況下不能經受住高於400℃之熱製程。預期此熱分解針對所有直鏈烷氧基及氯烷基矽烷R1xSi(OR2)y(Cl)z,其中x=1至3且y+z=4-x,但可產生具有良好熱穩定性之塗層的甲基、二甲基及三甲矽烷(x=1至3,R1=CH3)除外。
實例4b
隨後用含1% 3,3,3-三氟丙基三乙氧基矽烷(3,3,3-trifluoropropyltriethoxysilane,TFTS)之甲苯處理接合表面經O2電漿及SC1處理之玻璃載具,且在150℃下在真空中退火1小時以完成縮合。經TFTS處理之表面展現47mJ/m2之表面能。如表4中所示,將玻璃薄片(已經SC1清潔,隨後在400℃下在真空中加熱一小時)接合於上面具有TFTS表面改質層之載具接合表面。此物件在玻璃薄片與玻璃載具不發生永久接合的情況下經受住真空、SRD及400℃製程測試。然而,600℃測試產生氣泡,該等氣泡係由於矽烷熱分解而於 載具下方形成。此舉不出所料,因為丙基之熱穩定性有限。雖然此樣品由於鼓泡而未通過600℃測試,但此實例之材料及熱處理可用於一些應用,在該等應用中可耐受氣泡及氣泡不利作用,例如表面光滑度降低或波紋增加。
實例4c
隨後用含1%苯基三乙氧基矽烷(phenyltriethoxysilane,PTS)之甲苯處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經PTS處理之表面展現54mJ/m2之表面能。如表4中所示,將玻璃薄片(已經SC1清潔,隨後在400℃下在真空中加熱一小時)接合於具有PTS表面改質層之載具接合表面。此物件在玻璃薄片與玻璃載具不發生永久接合的情況下經受住真空、SRD及高達600℃之熱製程。
實例4d
隨後用含1%二苯基二乙氧基矽烷(diphenyldiethoxysilane,DPDS)之甲苯處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經DPDS處理之表面展現47mJ/m2之表面能。如表4中所示,將玻璃薄片(已經SC1清潔,隨後在400℃下在真空中加熱一小時)接合於具有DPDS表面改質層之載具接合表面。此物件在玻璃薄片與玻璃載具不發生永久接合的情況下經受住真空及SRD測試以及高達600℃之熱製程。
實例4e
隨後用含1% 4-五氟苯基三乙氧基矽烷 (4-pentafluorophenyltriethoxysilane,PFPTS)之甲苯處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經PFPTS處理之表面展現57mJ/m2之表面能。如表4中所示,將玻璃薄片(已經SC1清潔,隨後在400℃下在真空中加熱一小時)接合於具有PFPTS表面改質層之載具接合表面。此物件在玻璃薄片與玻璃載具不發生永久接合的情況下經受住真空及SRD測試以及高達600℃之熱製程。
在以上實例4a至4e中,載具及薄片各自為Eagle XG®玻璃,其中該載具為630微米厚之150mm直徑SMF晶圓且該薄片為100mm2、100微米厚。矽烷層為自組裝單層(self-assembled monolayer,SAM)且因而大約小於約2nm厚。在以上實例中,使用具有芳基或烷基非極性尾部基團及單、二或三醇化物頭部基團之有機矽烷製造SAM。此等矽烷與玻璃表面上之矽烷醇反應以直接連接有機官能基。非極性頭部基團之間的較弱相互作用組織有機層。由於表面改質層之厚度較小,幾乎不存在釋氣風險,釋氣可能在元件製造中引起污染。此外,由於實例4c、4d及4e中之表面改質層看似不降解,故而釋氣風險甚至更小。此外,如表4中所指示, 使用SC1製程清潔各玻璃薄片,隨後在400℃下在真空中熱處理一小時。
如自比較實例4a至4e可見,控制接合表面之表面能高於40mJ/m2以便促進初始室溫接合不僅考慮到產生能耐受FPD處理之受控接合,而且又允許在不發生損壞的情況下自載具移除薄片。特定言之,如自實例4a至4e可見,各載具之表面能高於40mJ/m2,由此促進初始室溫接合以使得物件經受住真空及SRD處理。然而,實例4a及4b未通過600℃處理測試。如上所述,對於某些應用,該接合在接合沒有降解至不足以將薄片與載具固持在一起且亦不足以控制此種高溫下發生之共價接合的程度的情況下經受住高達高溫之製程(例如≧400℃、≧500℃或≧600℃、高達650℃,只要適於經設計使用該物件之製程),以使得薄片與載具之間不發生永久接合亦非常重要。如表4中藉由實例所示,芳族矽烷,尤其是苯基矽烷可用於提供受控接合,該受控接合將促進初始室溫接合且將耐受FPD處理並且又允許在不發生損壞的情況下自載具移除薄片。
實例4、3及2中之上述分離係在室溫下在不添加任何其他熱能或化學能以改質薄片與載具之間的接合界面的情況下進行。唯一能量輸入為機械拉力及/或剝離力。
實例3及4中之上述材料可塗覆於載具、薄片或將接合在一起之載具與薄片表面。
受控接合之用途
可再使用之載具
經由表面改質層(包括材料及相關接合表面熱處理)受控接合之一個用途為提供經歷要求溫度≧600℃之製程(例如在LTPS處理中)的物件中之載具之再使用。如以上實例2e、3a、3b、4c、4d及4e所例示之表面改質層(包括材料及接合表面熱處理)可用於在此種溫度條件下提供載具之再使用。特定言之,此等表面改質層可用於改質薄片與載具之接合區域之間的重疊區域之表面能,藉此可在處理之後分離整個薄片與載具。薄片可一起分離,或可分部分分離,例如當首先移除薄片之部分上所製造之元件且此後移除其餘部分以清潔載具以供再使用時。在自載具移除整個薄片之情況下,載具可藉由僅在上面置放另一薄片而依原樣再使用。或者,載具可經清潔及再次預處理以便藉由重新形成表面改質層來運載薄片。因為表面改質層防止薄片與載具永久接合,故表面改質層可用於溫度≧600℃之製程。固然,雖然此等表面改質層可在溫度≧600℃之處理期間控制接合表面能,但此等表面改質層亦可用於製造將耐受較低溫度處理之薄片與載具組合,且可用於此種較低溫度應用以控制接合。此外,在物件之熱處理不超過400℃的情況下,如實例2c、2d、4b所例示之表面改質層亦可以相同方式使用。
提供受控接合區域
經由表面改質層(包括材料及相關接合表面熱處理)之受控接合之第二用途為在玻璃載具與玻璃薄片之間提供受控接合區域。更特定言之,借助於表面改質層,可形成受控接合區域,其中充足分離力可分離薄片部分與載具而不存在 因接合所致之薄片或載具損壞,而又在整個處理中維持充足接合力以相對於載具固持薄片。參考第6圖,玻璃薄片20可藉由接合區域40接合於玻璃載具10。在接合區域40中,載具10與薄片20彼此共價接合以使得該載具及該薄片充當一個整體。另外,存在具有周邊52之受控接合區域50,其中載具10與薄片20連接,但即使在高溫處理(例如溫度≧600℃之處理)後亦可彼此分離。雖然第6圖中顯示十個受控接合區域50,但可提供任何適合數目,包括一個。如以上實例2a、2e、3a、3b、4c、4d及4e所例示之表面改質層30(包括材料及接合表面熱處理)可用於在載具10與薄片20之間提供受控接合區域50。特定言之,可在載具10或薄片20上之受控接合區域50之周邊52內形成此等表面改質層。因此,當在高溫下處理物件2以便在接合區域40中或在元件處理期間形成共價接合時,可在載具10與薄片20之間在以周邊52為界之區域內提供受控接合,藉此分離力可分離(而不會對薄片或載具造成災難性損壞)此區域中之薄片與載具,而薄片與載具在處理(包括超音波處理)期間不會分層。本申請案中如由表面改質層及任何相關熱處理所提供之受控接合因而能夠改良US '727中之載具概念。特定言之,雖然證明US '727之載具的接合周邊及非接合中心區域經受住FPD處理,包括≧約600℃之高溫處理,但超音波製程(例如濕式清潔及抗蝕劑汽提處理)保持具挑戰性。特定言之,可見溶液中之壓力波在薄玻璃之非接合區域(如US '727中所描述之非接合)中誘導和應振動(sympathic vibration),因為該區域中幾乎不存 在或不存在接合薄玻璃與載具之黏附力。薄玻璃中可形成駐波,其中此等波可引起振動,若超音波攪拌具有足夠強度,則該等振動可導致薄玻璃在接合區域與非接合區域之間的界面處斷裂。此問題可藉由將薄玻璃與載具之間的間隙減至最小且藉由在載具10與薄玻璃20之間在此等區域50中提供充分黏附或受控接合來消除。接合表面之表面改質層(包括如實例2a、2e、3a、3b、4c、4d及4e所例示之材料及任何相關熱處理)控制接合能以便在薄片20與載具10之間提供充分接合以避免受控接合區域中存在此等不需要之振動。
隨後,在抽取具有周邊57之所要部分56期間,可在處理之後及在沿周邊57分離薄片之後簡單分離周邊52內之薄片20之部分與載具10。因為表面改質層控制接合能以防止薄片與載具永久接合,故表面改質層可用於溫度≧600℃之製程。固然,雖然此等表面改質層可在溫度≧600℃之處理期間控制接合表面能,但此等表面改質層亦可用於製造將耐受較低溫度處理之薄片與載具組合,且可用於此種較低溫度應用。此外,在物件之熱處理不超過400℃的情況下,如實例2c、2d、4b所例示之表面改質層亦可以相同方式(在一些情況下,視其他製程要求而定)用於控制接合表面能。
提供接合區域
經由表面改質層(包括材料及任何相關接合表面熱處理)之受控接合之第三用途為在玻璃載具與玻璃薄片之間提供接合區域。參考第6圖,玻璃薄片20可藉由接合區域40接合於玻璃載具10。
在第三用途之一個實施例中,在接合區域40中,載具10與薄片20可彼此共價接合以使得該載具及該薄片充當一個整體。另外,存在具有周邊52之受控接合區域50,其中載具10與薄片20彼此接合足以耐受處理,且即使在高溫處理(例如溫度≧600℃之處理)後仍允許分離薄片與載具。因此,如以上實例1a、1b、1c、2b、2c、2d、4a及4b所例示之表面改質層30(包括材料及接合表面熱處理)可用於在載具10與薄片20之間提供接合區域40。特定言之,可在載具10或薄片20上之受控接合區域50之周邊52以外形成此等表面改質層及熱處理。因此,當在高溫下處理物件2或在高溫下處理物件2以形成共價鍵時,載具與薄片20將在以周邊52為界之區域以外的接合區域40內彼此接合。隨後,在抽取具有周邊57之所要部分56期間,當需要將薄片20及載具10切塊時,可沿線5分離物件,因為此等表面改質層及熱處理共價接合薄片20與載具10,因此該薄片與該載具在此區域中充當一個整體。因為表面改質層提供薄片與載具之永久共價接合,故表面改質層可用於溫度≧600℃之製程。此外,在物件之熱處理或接合區域40之初步形成將≧400℃但小於600℃的情況下,如實例4a中藉由材料及熱處理所例示之表面改質層亦可以相同方式使用。
在第三用途之第二實施例中,在接合區域40中,載具10與薄片20可藉由經由上述各種表面改質層受控接合而彼此接合。另外,存在具有周邊52之受控接合區域50,其中載具10與薄片20彼此接合足以耐受處理,且即使在高溫處 理(例如溫度≧600℃之處理)後仍允許分離薄片與載具。因此,若將在高達600℃之溫度下進行處理,且不需要在區域40中具有永久或共價接合,則如藉由以上實例2e、3a、3b、4c、4d及4e所例示之表面改質層30(包括材料及接合表面熱處理)可用於在載具10與薄片20之間提供接合區域40。特定言之,此等表面改質層及熱處理可形成於受控接合區域50之周邊52以外,且可形成於載具10或薄片20上。受控接合區域50可用與接合區域40中所形成之表面改質層相同或不同的表面改質層形成。或者,若將在僅高達400℃之溫度下進行處理,且不需要在區域40中具有永久或共價接合,則如藉由以上實例2c、2d、2e、3a、3b、4b、4c、4d、4e所例示之表面改質層30(包括材料及接合表面熱處理)可用於在載具10與薄片20之間提供接合區域40。
作為區域50中之受控接合之替代方案,區域50中可存在非接合區域,其中該等非接合區域可為如US '727中所述之表面粗糙度有所增加之區域,或可藉由如實例2a所例示之表面改質層提供。
批量退火或批量處理
上述控制接合之方式之第四用途為用於玻璃片堆疊之批量退火。退火為用於壓實玻璃之熱製程。壓實涉及將玻璃體再加熱至低於玻璃軟化點但高於後續處理步驟中所達到之最高溫度的溫度。由此在後續處理之前而非在後續處理期間在玻璃中達成結構重排及尺寸鬆弛。在後續處理之前退火有益於在後續處理期間維持玻璃體中之精確對準及/或平坦 性,如在平板顯示元件之製造中,其中由許多個層製造之結構需要即使在經受高溫環境之後亦以極緊密公差對準。若玻璃在一個高溫製程中壓實,則高溫製程之前沈積於玻璃上之結構層可能不會與高溫製程之後沈積之結構層準確對準。
壓實堆疊中之玻璃片具有經濟吸引力。然而,此要求交錯或分離相鄰片材以避免黏貼。同時,維持片材非常平坦且具有光學品質或原始表面修整是有益的。另外,對於玻璃片(例如具有較小表面積之片材)之某些堆疊,以下情形可能為有益的:在退火製程期間將玻璃片「黏貼」在一起以使得該等玻璃片可作為一個單元容易地移動而不分離,但在退火製程之後容易彼此分離(例如藉由剝離)以使得片材可個別使用。或者,用以下方式將玻璃片堆疊退火可能為有益的:其中防止玻璃片中之所選玻璃片彼此永久接合,而同時允許玻璃片中之其他玻璃片或該等其他玻璃片之部分(例如玻璃片周邊)彼此永久接合。作為另一替代方案,堆疊玻璃片以成批選擇性地永久接合堆疊中之所選相鄰成對片材之周邊可能為有益的。上述控制玻璃片之間的接合的方式可用於達成上述批量退火及/或選擇性接合。為控制相鄰片材之間的任何特定界面處之接合,可在面向該界面之至少一個主表面上使用表面改質層。
將參考第7圖及第8圖描述適於在所選區域(例如周邊周圍)中批量退火或批量永久接合之玻璃片堆疊之一個實施例。其中第7圖為玻璃片770至772之堆疊760的示意性側視圖,且第8圖為出於進一步說明目的之其分解視圖。
玻璃片之堆疊760可包括玻璃片770至772及用於控制玻璃片770至772之間的接合的表面改質層790。另外,堆疊760可包括安置在堆疊之頂部及底部上的覆蓋片780、781,且可包括介於該等覆蓋片與相鄰玻璃片之間的表面改質層790。
如第8圖中所示,玻璃片770至772各自包括第一主表面776及第二主表面778。該等玻璃片可由任何適合玻璃材料製造,例如鋁矽酸鹽玻璃、硼矽酸鹽玻璃或鋁硼矽酸鹽玻璃。另外,玻璃可含鹼或可不含鹼。玻璃片770至772各自可具有相同組成,或該等片材可具有不同組成。此外,該等玻璃片可屬於任何適合類型。亦即,舉例而言,玻璃片770至772可均為如上所述之載具,可均為如上所述之薄片,或可交替為載具及薄片。當載具與薄片之批量退火需要不同的時間-溫度循環時,獲得載具堆疊及獨立的薄片堆疊為有益的。或者,在正確表面改質層材料及置放下,可能需要獲得具有交替載具及薄片之堆疊,從而必要時,可成批地使成對載具及薄片(亦即,形成一個物件之載具及薄片)彼此共價接合以供稍後處理,而同時保持相鄰物件彼此分離的能力。此外,堆疊中可能存在任何適合數目之玻璃片。亦即,雖然第7圖及第8圖中僅顯示三個玻璃片770至772,但堆疊760中可包括任何適合數目之玻璃片。
在任何特定堆疊760中,任一個玻璃片可不包括表面改質層、包括一個表面改質層或包括兩個表面改質層。舉例而言,如圖8中所示,片材770不包括表面改質層,片材 771在片材第二主表面778上包括一個表面改質層790,且片材772包括兩個表面改質層790,其中一個此種表面改質層處於片材每一主表面776、778上。
覆蓋片780、781可為能適當耐受(不僅在時間及溫度方面,而且關於其他相關考慮方面,例如釋氣)指定製程之時間-溫度循環的任何材料。有利的是,該等覆蓋片可由與所處理之玻璃片相同的材料製造。當覆蓋片780、781存在且具有不希望在經由指定時間-溫度循環放置該堆疊時與玻璃片接合之材料時,表面改質層790可在適當時包括在玻璃片771與覆蓋片781之間及/或玻璃片772與覆蓋片780之間。當存在於覆蓋片與玻璃片之間時,該表面改質層可處於覆蓋片上(如覆蓋片781及相鄰片材771所示),可處於玻璃片上(如覆蓋片780及片材772所示),或可處於覆蓋片與相鄰片材兩者上(未圖示)。或者,若覆蓋片780、781存在,但具有不會與相鄰片材772、771接合之材料,則覆蓋片與片材之間不需要存在表面改質層790。
該堆疊中之相鄰片材之間存在界面。舉例而言,在玻璃片770至772中的相鄰玻璃片之間界定一個界面,亦即,存在介於片材770與片材771之間的界面791,及介於片材770與片材772之間的界面792。另外,當覆蓋片780、781存在時,存在介於覆蓋片781與片材771之間的界面793,以及介於片材772與覆蓋片780之間的界面794。
為控制介於相鄰玻璃片之間的指定界面791、792處或介於玻璃片與覆蓋片之間的指定界面793、794處之接合, 可使用表面改質層790。舉例而言,如所示,各界面791、792處存在表面改質層790,該表面改質層處於面向該界面之至少一個主表面上。舉例而言,對於界面791而言,玻璃片771之第二主表面778包括表面改質層790以控制片材771與相鄰片材770之間的接合。雖然未圖示,但片材770之第一主表面776亦可包括在該第一主表面上之表面改質層790以控制與片材771之接合,亦即,面向任何特定界面之各主表面上可存在表面改質層。
可選擇任何指定界面791至794處之特定表面改質層790(及任何相關表面改質處理,例如在特定表面改質層塗覆至特定表面之前對該表面進行之熱處理,或對表面改質層可能接觸之表面的表面熱處理)用於面向該特定界面791至794之主表面776、778以控制介於相鄰片材之間的接合,且從而在堆疊760所經歷之指定時間-溫度循環中達成所要結果。
若需要在高達400℃之溫度下將玻璃片770至772之堆疊批量退火並且在退火製程之後將各玻璃片彼此分離,則可使用根據實例2a、2c、2d、2e、3a、3b或4b至4e中任一者之材料以及任何相關表面預處理來控制任何特定界面(例如界面791)處之接合。更特定言之,片材770之第一表面776將處理為表2至4中之「薄玻璃」,而片材771之第二表面778將處理為表2至4中之「載具」或相反。隨後可基於所要壓實度、堆疊中之片材數目以及片材之大小及厚度來選擇溫度高達400℃之適合時間-溫度循環,以便在整個堆疊 中達成必要的時間-溫度。
類似地,若需要在高達600℃之溫度下將玻璃片770至772之堆疊批量退火並且在退火製程之後將各玻璃片彼此分離,則可使用根據實例2a、2e、3a、3b、4c、4d、4e中任一者之材料以及任何相關表面預處理來控制任何特定界面(例如界面791)處之接合。更特定言之,片材770之第一表面776將處理為表2至4中之「薄玻璃」,而片材771之第二表面778將處理為表2至4中之「載具」或相反。隨後可基於所要壓實度、堆疊中之片材數目以及片材之大小及厚度來選擇溫度高達600℃之適合時間-溫度循環,以便在整個堆疊中達成必要的時間-溫度。
此外,可藉由適當配置片材堆疊及介於各成對片材之間的表面改質層來進行預形體批量退火及批量物件形成。若需要在高達400℃之溫度下將玻璃片770至772之堆疊批量退火,隨後使成對相鄰片材成批地彼此共價接合以形成物件2,則可選擇適合材料及相關表面預處理以便控制接合。舉例而言,在周邊周圍(或在其他所要接合區域40處),可使用以下控制介於形成為物件2之成對玻璃片(例如片材770及771)之間的界面處的接合:(i)在片材770、771之周邊(或其他所要接合區域40)周圍的根據實例2c、2d、4b中任一者之材料以及任何相關表面預處理;及(ii)在片材770、771之內部區域(亦即,如(i)中所處理之周邊內部之區域,或在需要分離一個片材與另一片材之所要受控接合區域50中)上的根據實例2a、2e、3a、3b、4c、4d、4e中任一者之材料 以及任何相關表面預處理。在此情況下,隨後可在高達600℃之溫度下進行受控接合區域50中之元件處理。
可適當選擇彼此具有相容性的材料及熱處理。舉例而言,材料2c、2d或4b中之任一者均可用於接合區域40,其中根據實例2a之材料可用於受控接合區域。或者,可適當地控制用於接合區域及受控接合區域之熱處理以便將一個區域中會不利地影響相鄰區域中之所要接合度的熱處理的作用減至最小。
在針對堆疊中之玻璃片適當地選擇表面改質層790及相關熱處理之後,可將該等片材適當地配置成堆疊,隨後加熱至400℃以便在堆疊中之所有片材彼此未永久接合的情況下將該等片材批量退火。隨後,可將堆疊加熱至高達600℃以便在成對相鄰片材之所要接合區域中形成共價鍵以形成物件2,該物件具有接合區域及受控接合區域之圖案。可用實例2a、2e、3a、3b、4c、4d、4e之材料及相關熱處理來控制介於由接合區域40共價接合從而形成物件2的一對片材與形成獨立但相鄰之物件2的另一對此種片材之間的界面處的接合,以使得相鄰物件2不會彼此共價接合。用控制相鄰物件之間的接合的同一方式,可控制物件與堆疊中所存在之任何覆蓋片之間的接合。
此外,與上文類似,可在事先未將堆疊760退火的情況下由相同堆疊760成批地形成物件2。相反,可將片材獨立地退火,或在不同的堆疊中且彼此分開地退火,隨後配置該等片材以便在用於成批製造物件之堆疊中達成所要受控接 合。根據上文所述之批量退火且隨後由同一堆疊成批地形成物件的方式,可簡單地省略批量退火。
雖然上文僅詳細說明控制界面791處之接合之方式,但固然可在界面792處或對特定堆疊中可能存在之任何其他界面以相同方式進行,如同在堆疊中存在超過三個玻璃片的情況下,或如同當存在將不理想地與玻璃片接合之覆蓋片時。此外,雖然同一控制接合方式可用於所存在之任何界面791、792、793、794處,但不同於上述控制接合方式之方式亦可在不同界面處使用以在所要接合類型方面產生相同或不同的結果。
在上述批量退火或成批形成物件2之製程中,當使用HMDS作為控制界面處之接合的材料且HMDS曝露於堆疊之外周邊時,當需要防止區域中之HMDS共價接合時,應在無氧氛圍中進行高於約400℃之加熱。亦即,若HMDS曝露於氛圍中足以氧化HMDS之量的氧氣(在高於約400℃之溫度下),則HMDS已氧化之任何此種區域中之接合將變為相鄰玻璃片之間的共價接合。其他烷基烴矽烷同樣可受在較高溫度(例如高於約400℃)下曝露於氧氣的影響,例如乙基、丙基、丁基或甾醇基矽烷。同樣,若將其他材料用於表面改質層,則應選擇批量退火之環境以使得材料在退火之時間-溫度循環中不會降解。如本文所使用,無氧可意謂氧氣濃度小於1000ppm(以體積計),更佳小於100ppm(以體積計)。
在片材堆疊已批量退火後,可分離個別片材與堆疊。可處理(例如藉由氧電漿、在氧環境中在溫度≧400℃下 加熱,或藉由化學氧化、SC1或SC2)個別片材以移除表面改質層790。個別片材可在需要時用作例如電子元件(例如OLED、FPD或PV元件)基材。
上述批量退火或批量處理方法在以經濟方式維持清潔之片材表面方面具有優勢。更特定言之,不需要自始至終將片材保持在清潔環境中,如在淨室退火窯中。替代地,可在清潔環境中形成堆疊,隨後在標準退火窯(亦即,清潔度不受控制之退火窯)中處理而不會使片材表面因粒子而變髒,因為片材之間無流體流動。因此,防止片材表面受對片材堆疊進行退火之環境影響。在退火之後,可容易地將片材堆疊輸送至另一處理區域(處於同一設施或不同的設施中),因為片材維持一定黏附度,而在不損壞片材之足夠力下仍可彼此分離。亦即,玻璃製造商(舉例而言)可組裝玻璃片堆疊並使玻璃片堆疊退火,隨後將片材以堆疊形式運輸,其中該等片材在運輸期間保持在一起(不擔心該等片材在輸送中分離),在到達片材目的地後,可由以個別或以較小群組形式使用片材之消費者自堆疊中分離片材。一旦需要分離,可在清潔環境中(在根據需要洗滌堆疊之後)再次處理片材堆疊。
批量退火之實例
玻璃基板可按照自熔融拉伸製程接收時之原樣使用。熔融拉伸玻璃之組成為(以莫耳%計):SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。藉由微影法用200nm深的基準/游標使用HF將七(7)個0.7mm厚×150mm直徑之熔融拉伸玻璃基材圖案化。將兩(2) nm電漿沈積之含氟聚合物作為表面改質層塗佈於所有玻璃基材之所有接合表面上,亦即,塗佈基材之面向另一基材之各表面,因此各片材表面之所得表面能為約35mJ/m2。將7個經塗佈之個別玻璃基材放在一起以形成單一厚基材(稱為「玻璃堆疊」)。用以下方式將玻璃堆疊退火:在氮氣吹掃之管式爐中經15分鐘週期自30℃勻變至590℃,在590℃下保持30分鐘,隨後經50分鐘週期勻降至約230℃,隨後自爐中移出玻璃堆疊且在約10分鐘內冷卻至約30℃之室溫。在冷卻之後,自爐中移出基材且使用剃刀狀楔形物容易地分成個別片材(亦即,樣品整體上或局部上並未永久接合)。藉由比較玻璃基準與未退火之石英參考物來量測各個別基材上之壓實度。發現個別基材壓實約185ppm。使該等基材中之兩個基材作為個別樣品(未堆疊在一起)通過如上文所述之第二退火循環(590℃/保持30分鐘)。再次量測壓實度,且發現基材由於第二熱處理而進一步壓實小於10ppm(實際上為0至2.5ppm)(與原始玻璃尺寸相比第二熱處理後之玻璃尺寸變化減去第一熱處理後之玻璃尺寸變化)。因而,發明者已證明個別玻璃片可經塗佈、堆疊、在高溫下熱處理以達成壓實、冷卻、分成個別片材,且在第二熱處理後之尺寸變化(與玻璃片在第一熱處理後之大小相比)<10ppm且甚至<5ppm。
雖然用氮氣吹掃上述退火實例中之爐子,但亦可用其他氣體吹掃退火爐,包括空氣、氬氣、氧氣、CO2或以上各者的組合,視退火溫度及表面改質層材料在該等溫度下在特定環境中之穩定性而定。作為惰性氛圍之替代方案,上述 退火中之爐子可為真空環境。
另外,雖然未圖示,但玻璃可以捲軸形式替代片材形式進行退火。亦即,可在玻璃帶之一或兩側上形成適合表面改質層,隨後輥軋該帶狀物。整個捲筒可經受與上文關於片材所述相同的處理,因此整個捲軸之玻璃將在不會使一個玻璃繞帶黏貼至相鄰玻璃繞帶的情況下退火。在展開後,可藉由任適合製程移除表面改質層。
釋氣
典型晶圓接合應用中所使用之聚合物黏附劑一般為10至100微米厚且在處於或接近黏附劑溫度極限處損失黏附劑質量之約5%。對於由厚聚合物膜演化而來之此種材料,容易藉由質譜對質量損失或釋氣之量進行定量。另一方面,量測大約10nm厚或更薄之薄表面處理(例如上述電漿聚合物或自組裝單層表面改質層以及熱解聚矽氧油薄層)之釋氣更具挑戰性。對於此種材料,質譜不夠敏感。然而,存在許多其他量測釋氣之方式。
量測少量釋氣之第一方式係基於表面能量測,且將參考第9圖加以描述。為進行此測試,可使用如第9圖中所示之裝置。上面具有欲測試之表面改質層的第一基材或載具900提供表面902,亦即,在組成及厚度方面對應於欲測試之表面改質層30的表面改質層。置放第二基材或覆蓋物910以使得第二基材或覆蓋物910表面912緊鄰載具900之表面902但彼此不接觸。表面912為未經塗佈之表面,亦即,製造覆蓋物之裸材料之表面。將間隔物920置放於載具900與覆蓋 物910之間的不同點處以維持載具及覆蓋物相對於彼此呈間隔關係。間隔物920應足夠厚以分離覆蓋物910與載具900從而允許材料自一者移動至另一者,但足夠薄以便在測試期間將表面902及912上由於腔室氛圍所致之污染之量減至最少。載具900、間隔物920及覆蓋物910一起形成測試物件901。
在組裝測試物件901之前,量測裸表面912之表面能,同樣量測表面902(亦即,載具900之上面設有表面改質層之表面)之表面能。藉由將由S.Wu(1971)開發之理論模型與三種測試液體(水、二碘甲烷及十六烷)之三個接觸角擬合來量測如第10圖中所示之表面能(極性分量與分散分量)。(參考文獻:S. Wu, J. Polym. Sci. C, 34, 19, 1971)。
在組裝後,將測試物件901置放於加熱腔室930中,且藉由時間-溫度循環進行加熱。在大氣壓下且在流動N2氣體(亦即,在箭頭940之方向上以2標準公升/分鐘之速率流動)下進行加熱。
在加熱循環期間,表面902之表面能變化證明表面902中之變化(包括例如由於蒸發、熱解、分解、聚合與載具反應及去濕所致之表面改質層之變化)。表面902之表面能變化自身未必意謂表面改質層發生釋氣,但確實指示材料在該溫度下總體上不穩定,因為材料特徵例如由於上述機制而改變。因而,表面902之表面能變化愈小,表面改質層愈穩定。另一方面,由於表面912緊鄰表面902,故由表面902釋氣而來之任何材料將收集於表面912上且將改變表面912之表面 能。因此,表面912之表面能變化代表表面902上所存在之表面改質層釋氣。
因而,一種釋氣測試使用覆蓋物表面912之表面能變化。特定言之,若表面912之表面能變化≧10mJ/m2,則存在釋氣。此幅度之表面能變化與可造成膜黏附損失或材料性質及元件效能退化之污染一致。表面能變化≦5mJ/m2接近於表面能量測之可重複性及表面能之不均勻性。此較小變化與極少釋氣一致。
在產生第10圖中之結果的測試期間,載具900、覆蓋物910及間隔物920由Eagle XG玻璃製成,該玻璃為獲自Corning Incorporated(Corning,NY)之不含鹼之鋁硼矽酸鹽顯示器級玻璃,但情況不必如此。載具900及覆蓋物910為150mm直徑,0.63mm厚。一般而言,載具900及覆蓋物910將分別由與需要進行釋氣測試之載具10及薄片20相同的材料製成。在此測試期間,矽間隔物為0.63mm厚、2mm寬及8cm長,從而在表面902與912之間形成0.63mm之間隙。在此測試期間,腔室930併入MPT-RTP600s快速熱處理設備中,該設備以9.2℃/min之速率自室溫循環至測試極限溫度,在該測試極限溫度下保持如圖表中作為「退火時間」所示之不同時間,隨後在爐子速率下冷卻至200℃。在烘箱已冷卻至200℃之後,移出測試物件,且在測試物件已冷卻至室溫之後,再次量測各表面902及912之表面能。因而,舉例而言,對於第1號材料(線1003),使用在截至450℃極限溫度下測試之覆蓋物表面能之變化資料,收集資料如下。0分鐘處之資 料點顯示表面能為75mJ/m2(毫焦/平方公尺),且為裸玻璃之表面能,亦即,尚未經歷時間-溫度循環。一分鐘處之資料點指示如在如下進行之時間-溫度循環後所量測之表面能:將物件901(使用第1號材料作為載具900上之表面改質層以提供表面902)置放在處於室溫及大氣壓下之加熱腔室930中;在N2氣體以兩標準公升/分鐘流動的情況下以9.2℃/min之速率將該腔室加熱至測試極限溫度450℃,且在該測試極限溫度450℃下保持1分鐘;隨後允許該腔室以1℃/min之速率冷卻至300℃,且隨後自該腔室930中移出該物件901;隨後允許該物件冷卻至室溫(無N2流動氛圍);隨後量測表面912之表面能且作為1分鐘之點繪製於線1003上。隨後以類似方式確定第1號材料(線1003、1004)之其餘資料點以及第2號材料(線1203、1204)、第3號材料(線1303、1304)、第4號材料(線1403、1404)、第5號材料(線1503、1504)及第6號材料(線1603及1604)之資料點,其中退火時間之分鐘數對應於在測試極限溫度(450℃或600℃,視情況而定)下之保持時間。對於相應表面改質層材料(第1號至第6號材料),以類似方式確定表示表面902之表面能的線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601及1602之資料點,但改在各時間-溫度循環之後量測表面902之表面能。
如下文所述針對六種不同的材料進行以上組裝製程及時間-溫度循環,且將結果圖示於第10圖中。在該六種材料中,第1號至第4號材料對應於上述表面改質層材料。第5 號及第6號材料為比較實例。
第1號材料為CHF3-CF4電漿聚合型含氟聚合物。此材料與以上實例3b中之表面改質層一致。如第10圖中所示,線1001及1002顯示載具之表面能不顯著變化。因而,此材料在450℃至600℃之溫度下極穩定。另外,如線1003及1004所示,覆蓋物之表面能不顯著變化,亦即,該變化≦5mJ/m2。因此,自450℃至600℃不存在與此材料相關之釋氣。
第2號材料為苯基矽烷,該材料為由苯基三乙氧基矽烷之1%甲苯溶液沈積且在真空烘箱中在190℃下固化30分鐘之自組裝單層(SAM)。此材料與以上實例4c中之表面改質層一致。如第10圖中所示,線1201及1202指示載具上之表面能之一些變化。如上所述,此表明表面改質層有一些變化,且比較而言,第2號材料在一定程度上不如第1號材料穩定。然而,如線1203及1204所示,載具之表面能變化≦5mJ/m2,顯示表面改質層之變化未引起釋氣。
第3號材料為五氟苯基矽烷,該材料為由五氟苯基三乙氧基矽烷之1%甲苯溶液沈積且在真空烘箱中在190℃下固化30分鐘之SAM。此材料與以上實例4e中之表面改質層一致。如第10圖中所示,線1301及1302指示載具上之表面能之一些變化。如上所述,此表明表面改質層有一些變化,且比較而言,第3號材料在一定程度上不如第1號材料穩定。然而,如線1303及1304所示,載具之表面能變化≦5mJ/m2,顯示表面改質層之變化未引起釋氣。
第4號材料為在YES HMDS烘箱中在140℃下自蒸 氣沈積之六甲基二矽氮烷(HMDS)。此材料與以上表2之實例2b中之表面改質層一致。如第10圖中所示,線1401及1402指示載具上之表面能之一些變化。如上所述,此表明表面改質層有一些變化,且比較而言,第4號材料在一定程度上不如第1號材料穩定。另外,第4號材料之載具之表面能變化大於第2號及第3號材料中之任一者,比較而言表明第4號材料在一定程度上不如第2號及第3號材料穩定。然而,如線1403及1404所示,載具之表面能變化≦5mJ/m2,顯示表面改質層之變化未引起會影響覆蓋物之表面能的釋氣。然而,此與HMDS之釋氣方式一致。亦即,HMDS釋出不影響覆蓋物之表面能且可能不影響一些電子製造設備及/或處理之氨及水。另一方面,當將釋氣產物捕獲在薄片與載具之間時,可能有其他問題,如下文結合第二釋氣測試所述。
第5號材料為縮水甘油氧基丙基矽烷,該材料為由縮水甘油氧基丙基三乙氧基矽烷之1%甲苯溶液沈積且在真空烘箱中在190℃下固化30分鐘之SAM。此材料為比較實例材料。雖然如線1501及1502所示之載具表面能變化相對極小,但如線1503及1504所示之覆蓋物表面能變化較為顯著。亦即,雖然第5號材料在載具表面上相對穩定,但第5號材料確實釋出大量材料於覆蓋物表面上,藉此使覆蓋物表面能之變化≧10mJ/m2。雖然在600℃下在10分鐘結束時之表面能在10mJ/m2內,但該時間期間的變化確實超過10mJ/m2。參見例如1分鐘及5分鐘處之資料點。雖然不希望受理論束縛,但表面能自5分鐘至10分鐘輕微上升可能在一定程度上 歸因於釋氣材料分解且脫離覆蓋物表面。
第6號材料為DC704,該材料為藉由將5ml Dow Corning 704擴散泵用油四甲基四苯基三矽氧烷(獲自Dow Corning)分配於載具上,將該載具置放在500℃熱板上在空氣中持續8分鐘來預處理之聚矽氧塗層。可見之冒煙結束指示樣品預處理完成。在用上述方式預處理樣品之後,進行上述釋氣測試。此材料為比較示例性材料。如第10圖中所示,線1601及1602指示載具上之表面能之一些變化。如上所述,此表明表面改質層之一些變化,且比較而言,第6號材料在一定程度上不如第1號材料穩定。另外,如線1603及1604所指示,載具之表面能變化≧10mJ/m2,從而顯示顯著釋氣。更特定言之,在測試極限溫度450℃下,10分鐘資料點顯示表面能降低約15mJ/m2,且在1分鐘及5分鐘點處,表面能降低程度甚至更大。同樣,在10分鐘資料點處,覆蓋物在於600℃測試極限溫度下循環期間之表面能變化(即覆蓋物之表面能降低)為約25mJ/m2,5分鐘處在一定程度上較大,且1分鐘處在一定程度上較小。但總而言之,此材料在整個測試範圍內顯示大量釋氣。
顯然,對於第1號至第4號材料,整個時間-溫度循環中之表面能指示覆蓋物表面之表面能仍與裸玻璃之表面能一致,亦即,未收集到自載具表面釋出之材料。在第4號材料之情況下,如結合表2所示,預處理載具及薄片表面之方式在物件(薄片與載具經由表面改質層接合在一起)是否能經受住FPD處理方面產生較大差異。因而,雖然第10圖中所 示之第4號材料之實例可能未釋氣,但此材料可能經受住或可能經受不住400℃或600℃測試,如結合表2之論述所示。
量測少量釋氣之第二方式係基於一種組裝物件(亦即,薄片與載具經由表面改質層接合之物件)且使用氣泡區域百分比變化來確定釋氣。亦即,在加熱該物件期間,載具與薄片之間所形成之氣泡指示表面改質層釋氣。如上文結合第一釋氣測試所述,難以量測極薄表面改質層之釋氣。在此第二測試中,可藉由薄片與載具之間的強黏附來限制薄片下方之釋氣。儘管如此,≦10nm厚的層(例如電漿聚合型材料、SAM及熱解聚矽氧油表面處理)在熱處理期間仍可產生氣泡,儘管層絕對質量損失較小。且在薄片與載具之間產生氣泡可在元件處理期間在薄片上造成圖案產生、光微影處理及/或對準的問題。另外,薄片與載具之間的接合區域之邊界處鼓泡可造成一個製程之製程流體污染下游製程的問題。氣泡區域百分比變化≧5為顯著,表明釋氣且不合需要。另一方面,氣泡區域百分比變化≦1則不顯著且表明未釋氣。
1000級清潔室中人工接合之接合薄玻璃的平均氣泡區域為1%。接合載具中之氣泡百分比隨載具、薄玻璃片及表面預處理之清潔度而變化。因為此等初始缺陷在熱處理後充當氣泡生長之晶核生成位點,故熱處理後小於1%之任何氣泡區域變化均在樣品預處理變化性以內。為進行此測試,使用具有透明度單元之市售桌上型掃描器(Epson Expression 10000XL Photo)在接合後即刻產生接合薄片與載具之區域的第一掃描影像。使用標準Epson軟體,使用508dpi(50微米 /像素)及24位RGB掃描該等部分。影像處理軟體首先藉由根據需要將樣品不同部分之影像拼接成單一影像且去除掃描器假影(藉由使用在掃描器中無樣品的情況下進行的校準參考掃描)來預處理影像。隨後使用如閾值處理、孔洞填充、侵蝕/膨脹及斑點分析(blob analysis)等標準影像處理技術分析接合區域。亦可以類似方式使用較新的Epson Expression 11000XL Photo。在傳輸模式下,接合區域中之氣泡在掃描影像中為可見的且可確定氣泡區域之值。隨後,將氣泡區域與總接合區域(亦即,薄片與載具之間的總重疊區域)相比較以計算接合區域中之氣泡相對於總接合區域之區域百分比。隨後在MPT-RTP600s Rapid Thermal Processing系統中在N2氛圍下在300℃、450℃及600℃之測試極限溫度下熱處理樣品至多10分鐘。特定言之,所進行之時間-溫度循環包括:將物件插入處於室溫及大氣壓下之加熱腔室中;隨後以9℃/min之速率將該腔室加熱至測試極限溫度;將該腔室保持在該測試極限溫度下10分鐘;隨後以爐子速率將該腔室冷卻至200℃;自該腔室中移出該物件且允許冷卻至室溫;隨後用光掃描器第二次掃描該物件。隨後如上計算第二掃描之氣泡區域百分比且與第一掃描之氣泡區域百分比相比較以確定氣泡區域百分比變化(△氣泡區域%)。如上所述,氣泡區域變化≧5%為顯著且表明釋氣。由於原始氣泡區域百分比之變化性,故選擇氣泡區域百分比變化作為量測準則。亦即,在第一掃描中,大部分表面改質層之氣泡區域由於在薄片與載具經預處理之後且在薄片與載具接合之前的處置及清潔度而為約 2%。然而,可能因材料而發生變化。在此第二釋氣測試方法中,再次使用與關於第一釋氣測試方法所闡述相同的第1號至第6號材料。在此等材料中,第1號至第4號材料在第一掃描中展現約2%氣泡區域,而第5號及第6號材料在第一掃描中顯示顯著較大氣泡區域,亦即約4%。
將參考第11圖及第12圖來描述第二釋氣測試之結果。第1號至第3號材料之釋氣測試結果圖示於第11圖中,而第4號至第6號材料之釋氣測試結果圖示於第12圖中。
第1號材料之結果在第11圖中圖示為正方形資料點。如由該圖可見,對於300℃、450℃及600℃之測試極限溫度,氣泡區域百分比變化為接近零。因此,第1號材料在此等溫度下顯示無釋氣。
第2號材料之結果在第11圖中圖示為鑽石形資料點。如由該圖可見,對於450℃及600℃之測試極限溫度,氣泡區域百分比變化小於1。因此,第2號材料在此等溫度下顯示無釋氣。
第3號材料之結果在第11圖中圖示為三角形資料點。如由該圖可見,與第1號材料相似,對於300℃、450℃及600℃之測試極限溫度,氣泡區域百分比變化為接近零。因此,第1號材料在此等溫度下顯示無釋氣。
第4號材料之結果在第12圖中圖示為圓形資料點。如由該圖可見,對於300℃之測試極限溫度,氣泡區域百分比變化為接近零,但在450℃及600℃之測試極限溫度下對於一些樣品為接近1%,且在450℃及600℃之測試極限溫度下對 於同一材料之其他樣品為約5%。第4號材料之結果非常不一致,且依賴於用HMDS材料對薄片及載具表面進行預處理以便接合之方式。樣品效能依賴於樣品預處理方式之方式與結合以上表2所闡述之此材料之實例及相關論述一致。應注意,根據以上所闡述之分離測試,對於此材料,在450℃及600℃測試極限溫度下氣泡區域百分比變化接近1%之樣品不允許分離薄片與載具。亦即,薄片與載具之間的強黏附可限制氣泡產生。另一方面,氣泡區域百分比變化接近5%之樣品確實允許分離薄片與載具。因而,不釋氣之樣品具有以下不合需要之結果:增加在溫度處理之後的黏附,由此將載具與薄片黏貼在一起(妨礙自載具移除薄片),而允許移除薄片及載具之樣品具有不合需要之釋氣結果。
第5號材料之結果在第12圖中圖示為三角形資料點。如由該圖可見,對於300℃之測試極限溫度,氣泡區域百分比變化為約15%,且遠遠超過在450℃及600℃之較高測試極限溫度下之氣泡區域百分比變化。因此,第5號材料在此等溫度下顯示顯著釋氣。
第6號材料之結果在第12圖中圖示為正方形資料點。如由此圖可見,對於300℃之測試極限溫度,氣泡區域百分比變化超過2.5%,且對於450℃及600℃之測試極限溫度,超過5%。因此,第6號材料在450℃及600℃之測試極限溫度下顯示顯著釋氣。
結論
應強調,本發明之上述實施例,尤其是任何「較佳」 實施例,僅為實施之可能實例,僅為清楚理解本發明之各個概念而闡述。可在實質上不背離本發明之精神及各種原理的情況下對本發明之上述實施例進行許多變化及修改。所有該等修改及變化在本文中均意欲包括在本揭示內容及本發明之範疇內且受以下申請專利範圍保護。
舉例而言,雖然許多實施例之表面改質層30被顯示且論述為形成於載具10上,但該表面改質層可替代地或另外地形成於薄片20上。亦即,如實例4及3中所闡述之材料可塗覆於載具10、薄片20或載具10及薄片20兩者之將接合在一起之面上。
此外,雖然一些表面改質層30描述為控制接合強度以便即使在400℃或600℃之溫度下處理物件2之後亦允許自載具10移除薄片20,但固然有可能在低於該物件所通過之特定測試之溫度的溫度下處理物件2且仍同樣能夠自載具10移除薄片20而不損壞薄片20或載具10。
此外,雖然受控接合概念在本文中已描述為用於載具及薄片,但在某些情況下,該等概念適用於控制較厚玻璃片、陶瓷片或玻璃陶瓷片之間的接合,其中可能需要使該等片材(或該等片材之部分)彼此脫離。
此外,雖然受控接合概念在本文中已描述為可用於玻璃載具及玻璃薄片,但載具可由例如陶瓷、玻璃陶瓷或金屬等其他材料製成。同樣,可與載具受控接合之片材可由例如陶瓷或玻璃陶瓷等其他材料製成。
根據本申請案之各種上述概念可用任何及所有不同 的組合方式彼此組合。舉例而言,各個概念可根據以下態樣加以組合。
根據第一態樣,提供一種玻璃物件,該玻璃物件包含:一載具,該載具具有一載具接合表面;一表面改質層,該表面改質層安置於該載具接合表面上,其中該表面改質層經配置以使得當該載具接合表面與玻璃片接合表面該表面改質層介於該載具接合表面與該玻璃片接合表面之間的情況下接合時,在藉由在以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使該物件經歷溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該載具接合表面在該溫度循環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
根據第二態樣,提供一種玻璃物件,該玻璃物件包含:載具,該載具具有載具接合表面;片材,該片材具有片材接合表面;表面改質層,該表面改質層安置於該載具接合表面及該片材接合表面中之一者上;該載具接合表面與該片材接合表面在該表面改質層介於該載具接合表面與該片材接合表面之間的情況下接合,其中 接合該片材與該載具之表面能具有以下特徵:在藉由在以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使該物件經歷溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該表面改質層在該溫度循環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
根據第三態樣,提供如第1態樣或第2態樣之玻璃物件,其中該加熱係在氮氣中進行。
根據第四態樣,提供如第1態樣至第3態樣中任一者之玻璃物件,其中接合該片材與該載具之該表面能具有使該物件通過真空相容性測試之特徵。
根據第五態樣,提供如第4態樣之玻璃物件,其中該真空相容性測試係在溫度測試之前進行。
根據第六態樣,提供如第1態樣至第5態樣中任一者之玻璃物件,其中接合該片材與該載具之該表面能具有使該物件通過濕式處理相容性測試之特徵。
根據第七態樣,提供如第1態樣至第6態樣中任一者之玻璃物件,其中該片材與該載具之間的該接合具有使該物件經受住超音波處理而不會使該片材與該載具分層的特徵,其中該超音波處理包含第一步驟:將該物件置於溫度≧50℃之第一流體槽中且使該物件經受≧100kHz之超音波能 持續10分鐘。
根據第八態樣,提供如第7態樣之玻璃物件,其中該超音波處理進一步包含第二步驟:將該物件置於溫度≧50℃之第二流體槽中且使該物件經受≧100kHz之超音波能持續10分鐘。
根據第九態樣,提供如第8態樣之玻璃物件,其中該超音波處理進一步包含第三步驟:將該物件置於溫度≧50℃之第三流體槽中且使該物件經受≧70kHz之超音波能持續10分鐘。
根據第十態樣,提供如第9態樣之玻璃物件,其中該超音波處理進一步包含第四步驟:將該物件置於溫度≧50℃之第四流體槽中且使該物件經受≧100kHz之超音波能持續10分鐘。
根據第十一態樣,提供如第1態樣至第10態樣中任一者之玻璃物件,其中該載具包含玻璃。
根據第十二態樣,提供如第1態樣至第11態樣中任一者之玻璃物件,其中該不具有任何表面改質層之載具的平均表面粗糙度Ra≦2nm。
根據第十三態樣,提供如第1態樣至第12態樣中任一者之玻璃物件,其中該載具之厚度為200微米至3mm。
根據第十四態樣,提供如第1態樣至第13態樣中任一者之玻璃物件,其中該片材包含玻璃。
根據第十五態樣,提供如第1態樣至第14態樣中任一者之玻璃物件,其中該不具有任何表面改質層之片材的平 均表面粗糙度Ra≦2nm。
根據第十六態樣,提供如第1態樣至第15態樣中任一者之玻璃物件,其中該片材之厚度≦300微米。
根據第十七態樣,提供如第1態樣至第16態樣中任一者之玻璃物件,其中該表面改質層之厚度為0.1至100nm。
根據第十八態樣,提供如第1態樣至第16態樣中任一者之玻璃物件,其中該表面改質層之厚度為0.1至10nm。
根據第十九態樣,提供如第1態樣至第16態樣中任一者之玻璃物件,其中該表面改質層之厚度為0.1至2nm。
根據第二十態樣,提供如第1態樣至第19態樣中任一者之玻璃物件,其中該載具為玻璃,該玻璃包含不含鹼之鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃,該玻璃之砷及銻含量各自≦0.05重量%。
根據第二十一態樣,提供如第1態樣至第20態樣中任一者之玻璃物件,其中該載具及該片材各自之大小為100mm×100mm或更大。
根據第二十二態樣,提供如第1態樣至第21態樣中任一者之玻璃物件,其中該表面改質層包含以下之一:a)電漿聚合型含氟聚合物;及b)芳族矽烷。
根據第二十三態樣,提供如第22態樣之玻璃物件,其中當該表面改質層包含電漿聚合型含氟聚合物時,該表面改質層為以下之一:電漿聚合型聚四氟乙烯;及由具有≦40% C4F8之CF4-C4F8混合物沈積之電漿聚合型含氟聚合物表面 改質層。
根據第二十四態樣,提供如第22態樣之玻璃物件,其中當該表面改質層包含芳族矽烷時,該表面改質層為以下之一:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第二十五態樣,提供如第22態樣之玻璃物件,其中當該表面改質層包含芳族矽烷時,該表面改質層含有氯苯基矽烷基或氟苯基矽烷基。
根據第二十六態樣,提供一種製造玻璃物件之方法,該方法包含:獲得載具,該載具具有接合表面;控制該載具接合表面之表面能,以使得當該載具與具有接合表面之玻璃片接合時,在該片材接合表面與該載具接合表面之間引起共價接合之表面能分量具有以下特徵:在藉由在以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使已接合之該片材及該載具物件經歷溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該載具接合表面在該溫度循環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
根據第二十七態樣,提供一種製造玻璃物件之方法,該方法包含: 獲得載具,該載具具有接合表面;獲得片材,該片材具有片材接合表面;藉由將該片材接合表面安置於該載具接合表面上來接合該片材與該載具;控制該載具接合表面及該片材接合表面之表面能,以使得在該片材接合表面與該載具接合表面之間引起共價接合之表面能分量具有以下特徵:藉由在以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使已接合之該片材及該載具物件經歷溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該載具接合表面與該片材接合表面之間的界面處在該溫度循環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
根據第二十八態樣,提供如第26態樣或第27態樣之方法,其中該加熱係在氮氣中進行。
根據第二十九態樣,提供如第26態樣至第28態樣中任一者之方法,其中接合該片材與該載具之該表面能具有使該物件通過真空相容性測試之特徵。
根據第三十態樣,提供如第29態樣之方法,其中該真空相容性測試係在溫度測試之前進行。
根據第三十一態樣,提供如第26態樣至第30態樣中任一者之方法,其中接合該片材與該載具之該表面能具有 使該物件通過濕式處理相容性測試之特徵。
根據第三十二態樣,提供如第26態樣至第31態樣中任一者之方法,其中該片材與該載具之間的該接合具有使該物件經受住超音波處理而不會使該片材與該載具分層的特徵,其中該超音波處理包含第一步驟:將該物件置於溫度≧50℃之第一流體槽中且使該物件經受≧100kHz之超音波能持續10分鐘。
根據第三十三態樣,提供如第32態樣之方法,其中該超音波處理進一步包含第二步驟:將該物件置於溫度≧50℃之第二流體槽中且使該物件經受≧100kHz之超音波能持續10分鐘。
根據第三十四態樣,提供如第33態樣之方法,其中該超音波處理進一步包含第三步驟:將該物件置於溫度≧50℃之第三流體槽中且使該物件經受≧70kHz之超音波能持續10分鐘。
根據第三十五態樣,提供如第34態樣之方法,其中該超音波處理進一步包含第四步驟:將該物件置於溫度≧50℃之第四流體槽中且使該物件經受≧100kHz之超音波能持續10分鐘。
根據第三十六態樣,提供如第26態樣至第35態樣中任一者之方法,其中該載具包含玻璃。
根據第三十七態樣,提供如第26態樣至第36態樣中任一者之方法,其中該不具有任何表面改質層之載具的平均表面粗糙度Ra≦2nm。
根據第三十八態樣,提供如第26態樣至第37態樣中任一者之方法,其中該載具之厚度為200微米至3mm。
根據第三十九態樣,提供如第26態樣至第28態樣中任一者之方法,其中該片材包含玻璃。
根據第四十態樣,提供如第26態樣至第39態樣中任一者之方法,其中該不具有任何表面改質層之片材的平均表面粗糙度Ra≦2nm。
根據第四十一態樣,提供如第26態樣至第40態樣中任一者之方法,其中該片材之厚度≦300微米。
根據第四十二態樣,提供如第26態樣至第41態樣中任一者之方法,其中該表面改質層之厚度為0.1至100nm。
根據第四十三態樣,提供如第26態樣至第41態樣中任一者之方法,其中該表面改質層之厚度為0.1至10nm。
根據第四十四態樣,提供如第26態樣至第41態樣中任一者之方法,其中該表面改質層之厚度為0.1至2nm。
根據第四十五態樣,提供如第26態樣至第44態樣中任一者之方法,其中該載具及該片材中之至少一者為玻璃,該玻璃包含不含鹼之鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃,該玻璃之砷及銻含量各自≦0.05重量%。
根據第四十六態樣,提供如第26態樣至第45態樣中任一者之方法,其中該載具及該片材之大小各自為Gen 1或更大。
根據第四十七態樣,提供如第26態樣至第46態樣中任一者之方法,其中該表面改質層包含以下之一: a)電漿聚合型含氟聚合物;及b)芳族矽烷。
根據第四十八態樣,提供如第47態樣之方法,其中當該表面改質層包含電漿聚合型含氟聚合物時,該表面改質層為以下之一:電漿聚合型聚四氟乙烯;及由具有≦40% C4F8之CF4-C4F8混合物沈積之電漿聚合型含氟聚合物表面改質層。
根據第四十九態樣,提供如第47態樣之方法,其中當該表面改質層包含芳族矽烷時,該表面改質層為以下之一:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第五十態樣,提供如第47態樣之方法,其中當該表面改質層包含芳族矽烷時,該表面改質層含有氯苯基矽烷基或氟苯基矽烷基。
根據第五十一態樣,提供一種將玻璃片退火之方法,該方法包含:堆疊複數個玻璃片,該等玻璃片各自具有兩個主表面,以便在該等複數個玻璃片中之該等玻璃片中之相鄰玻璃片之間界定界面,其中在面向該等界面之一的該等主表面中之至少一者上安置一表面改質層;將該玻璃片堆疊曝露於足以將該等玻璃片中之每一者壓實的時間-溫度循環,其中該表面改質層足以在整個該時間-溫度循環中控制該堆疊中之該等玻璃片中之該等相鄰玻璃片之間的接合,該等相鄰 玻璃片界定該等界面之一,其中控制接合之力度以使得在固持一個片材且使另一片材經受重力時一個片材不與另一片材分離,但使得可在不使該等玻璃片中之該等相鄰玻璃片之一斷裂成兩片或更多片的情況下分離該等片材,且該等界面處不發生釋氣。
根據第五十二態樣,提供如第51態樣之方法,其中該時間-溫度循環包括≧400℃但低於該等玻璃片之應變點的溫度。
根據第五十三態樣,提供如第51態樣之方法,其中該時間-溫度循環包括≧600℃但低於該等玻璃片之應變點的溫度。
根據第五十四態樣,提供如第51態樣至第53態樣中任一者之方法,其中該表面改質層為以下之一:HMDS、電漿聚合型含氟聚合物及芳族矽烷。
根據第五十五態樣,提供如第54態樣之方法,其中當該表面改質層包含電漿聚合型含氟聚合物時,該表面改質層為以下之一:電漿聚合型聚四氟乙烯;及由具有≦40% C4F8之CF4-C4F8混合物沈積之電漿聚合型含氟聚合物表面改質層。
根據第五十六態樣,提供如第54態樣之方法,其中當該表面改質層包含芳族矽烷時,該表面改質層為以下之一:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第五十七態樣,提供如第54態樣之方法,其中 該時間-溫度循環係在無氧環境下進行。
2‧‧‧玻璃物件
8‧‧‧玻璃物件之厚度
10‧‧‧載具
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧薄片之周邊
28‧‧‧薄片之厚度
30‧‧‧表面改質層
38‧‧‧表面改質層之厚度

Claims (14)

  1. 一種玻璃物件,該玻璃物件包含:一載具,該載具具有一載具接合表面;一片材,該片材具有一片材接合表面;一表面改質層,該表面改質層安置於該載具接合表面及該片材接合表面中之一者上,該載具接合表面與該片材接合表面在該表面改質層介於該載具接合表面與該片材接合表面之間的情況下接合,其中接合該片材與該載具之表面能具有以下一特徵:在藉由在一以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使該物件經歷一溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該表面改質層在該溫度循環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
  2. 如請求項1之玻璃物件,其中該加熱係在氮氣中進行。
  3. 如請求項1之玻璃物件,其中該載具包含玻璃。
  4. 如請求項1之玻璃物件,其中該片材包含玻璃。
  5. 如請求項1之玻璃物件,其中該片材之一厚度≦300微米。
  6. 如請求項1之玻璃物件,其中該表面改質層之一厚度為0.1至100nm。
  7. 如請求項1至6中任一項之玻璃物件,其中該表面改質層包含以下之一:a)一電漿聚合型含氟聚合物;及b)一芳族矽烷。
  8. 一種製造一玻璃物件之方法,該方法包含:獲得一載具,該載具具有一接合表面;獲得一片材,該片材具有一片材接合表面;藉由將該片材接合表面安置於該載具接合表面上來接合該片材與該載具,控制該載具接合表面及該片材接合表面之該表面能,以使得在該片材接合表面與該載具接合表面之間引起共價接合之表面能分量具有以下特徵:在藉由在一以9.2℃/min之速率自室溫循環至600℃、在600℃之溫度下保持10分鐘、隨後以1℃/min冷卻至300℃之腔室中進行加熱而使已接合之該片材及該載具物件經歷一溫度循環,隨後自該腔室中移出該物件且允許該物件冷卻至室溫之後,若該載具與該片材中之一者被固持而另一者經受重力則該載具與該片材彼此不分離,該載具接合表面與該片材接合表面之間的界面處在該溫度循 環期間不發生釋氣,並且可在不使該載具及該片材中之較薄者斷裂成兩片或更多片的情況下分離該片材與該載具。
  9. 如請求項8之方法,其中該加熱係在氮氣中進行。
  10. 如請求項8之方法,其中該載具包含玻璃。
  11. 如請求項8之方法,其中該片材包含玻璃。
  12. 如請求項8之方法,其中該片材之一厚度≦300微米。
  13. 如請求項8之方法,其中該表面改質層之一厚度為0.1至100nm。
  14. 如請求項8至13中任一項之方法,其中該表面改質層包含以下之一:a)一電漿聚合型含氟聚合物;及b)一芳族矽烷。
TW102145894A 2012-12-13 2013-12-12 用於玻璃片與載具的受控接合之玻璃物件及方法 TWI601699B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261736862P 2012-12-13 2012-12-13
US14/047,506 US10086584B2 (en) 2012-12-13 2013-10-07 Glass articles and methods for controlled bonding of glass sheets with carriers

Publications (2)

Publication Number Publication Date
TW201429897A true TW201429897A (zh) 2014-08-01
TWI601699B TWI601699B (zh) 2017-10-11

Family

ID=50931232

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102145894A TWI601699B (zh) 2012-12-13 2013-12-12 用於玻璃片與載具的受控接合之玻璃物件及方法

Country Status (8)

Country Link
US (1) US10086584B2 (zh)
EP (2) EP2931672B1 (zh)
JP (1) JP6317759B2 (zh)
KR (1) KR102237812B1 (zh)
CN (1) CN105683115B (zh)
SG (2) SG11201504660SA (zh)
TW (1) TWI601699B (zh)
WO (1) WO2014093776A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11114309B2 (en) 2016-06-01 2021-09-07 Corning Incorporated Articles and methods of forming vias in substrates
TWI761355B (zh) * 2016-06-29 2022-04-21 美商康寧公司 附接至半導體晶圓之具有貫通孔的無機晶圓
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) * 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US20140150244A1 (en) * 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US20150099110A1 (en) * 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102151247B1 (ko) * 2013-11-11 2020-09-03 삼성디스플레이 주식회사 플렉서블 표시 패널의 제조 방법 및 플렉서블 표시 장치의 제조 방법
US9359161B2 (en) * 2013-12-20 2016-06-07 Industrial Technology Research Institute Interleaving element for a roll of glass substrate
US10046542B2 (en) 2014-01-27 2018-08-14 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
WO2016073549A1 (en) * 2014-11-05 2016-05-12 Corning Incorporated Glass articles with non-planar features and alkali-free glass elements
US9515272B2 (en) * 2014-11-12 2016-12-06 Rohm And Haas Electronic Materials Llc Display device manufacture using a sacrificial layer interposed between a carrier and a display device substrate
WO2016141119A1 (en) 2015-03-04 2016-09-09 Corning Incorporated Methods and apparatus for initiating debonding between two sheets of an assembly
EP3268322A1 (en) * 2015-03-13 2018-01-17 Corning Incorporated Methods and systems for processing glass ribbons and glass ribbons formed thereby
JP6607550B2 (ja) * 2015-03-16 2019-11-20 日本電気硝子株式会社 ガラス基板の製造方法
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
KR20190003461A (ko) * 2016-04-28 2019-01-09 에이지씨 가부시키가이샤 유리 적층체 및 그 제조 방법
TWI729120B (zh) * 2016-05-16 2021-06-01 美商道康寧公司 包括至少一氟矽化合物之離型層
TW201817152A (zh) 2016-08-10 2018-05-01 美商康寧公司 利用靜電吸盤與凡得瓦力塗佈玻璃基板之設備與方法
US20190184686A1 (en) * 2016-08-22 2019-06-20 Corning Incorporated Articles of controllably bonded sheets and methods for making same
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201822282A (zh) 2016-09-09 2018-06-16 美商康寧公司 具有通孔的低表面粗糙度基板及其製作方法
US20190270669A1 (en) * 2016-10-25 2019-09-05 Corning Incorporated Methods and apparatus for positioning and securing glass, glass-ceramic and ceramic substrates for coating
CN107098606B (zh) * 2017-04-24 2020-07-07 京东方科技集团股份有限公司 玻璃贴合方法、制造显示器件的方法和显示器件
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US20200171799A1 (en) * 2017-08-18 2020-06-04 Corning Incorporated Temporary bonding using polycationic polymers
WO2019100050A1 (en) 2017-11-20 2019-05-23 Corning Incorporated Temporary bonding of glass pairs using cationic surfactants and/or organic salts
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
CN109729668B (zh) * 2018-08-02 2021-05-14 比亚迪股份有限公司 玻璃件、壳体、显示装置以及终端设备
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
CN115666947A (zh) * 2020-04-23 2023-01-31 康宁公司 具有用于最小形状偏差的框架且具有小边框宽度的玻璃制品
CN114920469B (zh) * 2022-06-01 2024-04-30 北方夜视技术股份有限公司 一种硼硅玻璃的键合方法

Family Cites Families (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
CA2110499C (en) 1991-06-14 1998-06-23 Stanislaw L. Zukowski Surface modified porous expanded polytetrafluoroethylene and process for making
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) * 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
AU9296098A (en) 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
JP4593049B2 (ja) 2000-02-01 2010-12-08 アナログ デバイシーズ インコーポレイテッド 静止摩擦を低減し微細加工デバイス表面を不動態化するウェハレベル処理のための方法およびそれに使用するチップ
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
ATE370107T1 (de) 2001-06-29 2007-09-15 Crystal Syst Beschlagungsresistente transparente artikel, stoffe, die eine hydrophile anorganische schicht hoher härte bilden und verfahren zur herstellung einer beschlagungsarmen linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6824872B2 (en) * 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) * 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW200428538A (en) 2002-11-20 2004-12-16 Reveo Inc Method of fabricating vertical integrated circuits
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
PT1568071T (pt) 2002-11-29 2019-06-17 Fraunhofer Ges Forschung Pastilha com camada de separação e camada de suporte e seu processo de fabrico
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR101078509B1 (ko) 2004-03-12 2011-10-31 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 박막 트랜지스터의 제조 방법
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) * 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
WO2006093639A1 (en) 2005-03-01 2006-09-08 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
CN101242951B (zh) 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) * 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
WO2008007622A1 (fr) 2006-07-12 2008-01-17 Asahi Glass Company, Limited substrat de verre avec verre de protection, processus de fabrication d'UN affichage EN utilisant un SUBSTRAT DE VERRE AVEC VERRE DE PROTECTION, et silicone pour papier détachable
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) * 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
JP5532918B2 (ja) 2007-03-12 2014-06-25 旭硝子株式会社 保護ガラス付ガラス基板を用いた表示装置の製造方法
EP2150556B1 (de) 2007-04-26 2011-01-12 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
TWI418602B (zh) 2007-06-25 2013-12-11 Brewer Science Inc 高溫旋塗暫時結合組成物
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
CA2711266A1 (en) 2008-01-24 2009-07-30 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
KR20140106647A (ko) * 2008-02-05 2014-09-03 세인트-고베인 퍼포먼스 플라스틱스 코포레이션 다층 물품
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
CN101980861B (zh) 2008-04-08 2014-08-06 亚利桑那董事会,代表亚利桑那州立大学行事的亚利桑那州法人团体 用于降低半导体加工期间挠性基材的翘曲度和弯曲度的组件和方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
KR20110007134A (ko) 2008-04-17 2011-01-21 아사히 가라스 가부시키가이샤 유리 적층체, 지지체를 부착한 표시 장치용 패널 및 이들의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
US8840999B2 (en) 2008-11-19 2014-09-23 Dow Corning Toray Company, Ltd. Silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
CA2751881C (en) 2009-02-27 2013-09-17 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
KR101561729B1 (ko) 2009-05-06 2015-10-19 코닝 인코포레이티드 유리 기판의 캐리어
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
KR101723254B1 (ko) 2009-09-08 2017-04-04 도요보 가부시키가이샤 유리/수지 적층체 및 그것을 사용한 전자 디바이스
CN102725368B (zh) 2009-09-11 2015-05-06 汉高知识产权控股有限责任公司 用于聚合物粘合的组合物
JP5510901B2 (ja) 2009-09-18 2014-06-04 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
WO2011048978A1 (ja) 2009-10-20 2011-04-28 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
KR101899412B1 (ko) 2010-01-12 2018-09-17 니폰 덴키 가라스 가부시키가이샤 유리 필름 적층체와 그 제조 방법 및 유리 필름의 제조 방법
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
JP5899220B2 (ja) 2010-09-29 2016-04-06 ポスコ ロール状の母基板を利用したフレキシブル電子素子の製造方法、フレキシブル電子素子及びフレキシブル基板
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
KR20140018937A (ko) 2011-04-22 2014-02-13 아사히 가라스 가부시키가이샤 적층체, 그 제조 방법 및 용도
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
WO2013044941A1 (en) 2011-09-27 2013-04-04 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5924344B2 (ja) 2011-10-18 2016-05-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
WO2013119737A2 (en) 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TW201429708A (zh) 2012-12-13 2014-08-01 Corning Inc 玻璃及製造玻璃物品的方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
JP2017511756A (ja) 2014-01-27 2017-04-27 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための表面改質層の処理
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
JPWO2015163134A1 (ja) 2014-04-25 2017-04-13 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
CN106573443B (zh) 2014-08-01 2018-09-25 Agc株式会社 带无机膜的支撑基板及玻璃层叠体、以及它们的制造方法及电子器件的制造方法
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114309B2 (en) 2016-06-01 2021-09-07 Corning Incorporated Articles and methods of forming vias in substrates
TWI761355B (zh) * 2016-06-29 2022-04-21 美商康寧公司 附接至半導體晶圓之具有貫通孔的無機晶圓
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11972993B2 (en) 2017-05-25 2024-04-30 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness

Also Published As

Publication number Publication date
WO2014093776A1 (en) 2014-06-19
KR20150094742A (ko) 2015-08-19
CN105683115A (zh) 2016-06-15
SG11201504660SA (en) 2015-07-30
EP3584229A1 (en) 2019-12-25
EP2931672B1 (en) 2019-07-17
EP2931672A1 (en) 2015-10-21
SG10201707015UA (en) 2017-10-30
US20140170378A1 (en) 2014-06-19
JP2016507449A (ja) 2016-03-10
US10086584B2 (en) 2018-10-02
TWI601699B (zh) 2017-10-11
KR102237812B1 (ko) 2021-04-09
CN105683115B (zh) 2018-02-13
EP3584229B1 (en) 2023-11-15
JP6317759B2 (ja) 2018-04-25

Similar Documents

Publication Publication Date Title
TWI601699B (zh) 用於玻璃片與載具的受控接合之玻璃物件及方法
TWI604955B (zh) 處理oled元件之方法
US10538452B2 (en) Bulk annealing of glass sheets
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20150329415A1 (en) Glass and methods of making glass articles
US9889635B2 (en) Facilitated processing for controlling bonding between sheet and carrier
KR102239613B1 (ko) 유리 시트의 벌크 어닐링