KR970030618A - 기계적 인터페이스장치 - Google Patents

기계적 인터페이스장치 Download PDF

Info

Publication number
KR970030618A
KR970030618A KR1019960053625A KR19960053625A KR970030618A KR 970030618 A KR970030618 A KR 970030618A KR 1019960053625 A KR1019960053625 A KR 1019960053625A KR 19960053625 A KR19960053625 A KR 19960053625A KR 970030618 A KR970030618 A KR 970030618A
Authority
KR
South Korea
Prior art keywords
opening
processing apparatus
container
sealing
sealed container
Prior art date
Application number
KR1019960053625A
Other languages
English (en)
Inventor
테루야 모리타
마사나오 무라타
히토시 카와노
쑤요시 타나카
히로유키 요오베
토시유키 타카오카
Original Assignee
니시자키 마코토
신꼬덴끼 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니시자키 마코토, 신꼬덴끼 가부시끼가이샤 filed Critical 니시자키 마코토
Publication of KR970030618A publication Critical patent/KR970030618A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Packaging Frangible Articles (AREA)

Abstract

본 발명은 반도체웨이퍼에 소요의 처리를 실시하는 각종 처리장치등에 설치되고 밀폐컨테이너에 수납된 반도체웨이퍼를 외기에 노출하지 않고 상기 처리장치내에 취입하는 기계적 인터페이스장치에 관한 발명이다.
본 발명은 외기와 먼지등에 의해 반도체웨이퍼 표면에 악영향을 미치지 않고, 밀폐컨테이너에 수납된 반도체웨이퍼를 장치내로 취입할수 있는 기계적 인터페이스장치를 제공하는 것을 과제로 하였다.
이를 위해 밀폐컨테이너(100)가 처리장치(200)의 위에 재치되면, 레치기구(201)가 작동하여 스플라인(103)과 계합하고, 컨테이너본체(101)를 고정한다. 그리고, 밸브(3,5)를 열어서 개스축출을 행한후, 로크개폐기구(220)내부에 설치된 구동기구를 구동하여 로크아암(111)을 요부(103a)에서 인출하고 아래마개(110)의 로크를 해제한다. 그리고, 컨테이너 내의 웨이퍼카세트(106)가 장치내 승강기구(202)에 의해 소정위치까지 하강하면, 장치내에 설치된 카세트취입기구에 의해 처리장치(200)내로 취입되도록 하였다.

Description

기계적 인터페이스장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 제1실시형태의 구성 설명도.

Claims (4)

  1. 컨테이너본체와, 이 컨테이너본체의 개구부를 기밀히 폐쇄가능한 마개와, 이 마개 내부에 설치되고, 외부에서 조작에 의해 상기 마개의 잠금 또는 해제가능한 시정·해정기구와로 이루어지는 밀폐컨테이너에 수납된 수납물에 대하여 소정의 처리를 실시하는 처리장치에 설치되고, 상기 수납물을 외기에 접촉시키지 않고 상기 처리장치 내부에 취입하는 기계적 인터페이스장치에 있어서, 상기 처리장치 내를 승강하는 승강수단과, 상기 승강수단에 설치되고, 상기 승강수단의 최대상승시에 상기 마개와 함께 상기 수납물을 취입하기 위해 상기 처리장치에 설치된 개구부를 상기 처리장치 내부에서 밀폐하고, 또한 상기 시정·해정기구의 개폐조작을 행하는 마개개폐기구를 내장하는 밀폐수단과, 상기 개구부 주부에 상기 밀폐컨테이너를 재치한때에 상기 밀폐컨테이너·밀폐수단 및 처리장치에 의해 형성되는 공간에 개스를 공급하는 급기수단과, 상기 밀폐컨테이너·밀폐수단 및 처리장치간에 형성되는 공간에서 배출되는 기체를 외부로 배출하는 배기수단과를 구비하여 이루어지고, 상기 밀폐컨테이너가 상기 처리장치의 개구부 주부에 재치된때 상기 마개개폐기구가 상기 시정·해정기구의 해제조작을 하기전에 상기 급기수단(級氣手段)에 의해 개스를 공급하여 상기 밀폐컨테이너·밀폐수단 및 처리장치간에 형성되는 공간내의 기체를 상기 배기수단에서 배출하여 상기 공간내를 상기 개스로 치환하는 것을 특징으로 하는 기계적 인터페이스장지.
  2. 컨테이너본체와, 이 컨테이너본체의 개구부를 기밀히 폐쇄가능한 마개와, 이 마개 내부에 설치되고, 외부에서 조작에 의해 상기 마개의 잠금 또는 해제가능한 시정·해정기구와로 이루어지는 밀폐컨테이너에 수납된 수납물에 대하여 소정의 처리를 실시하는 처리장치에 설치되고, 상기 수납물을 외기에 접촉시키지 않고 상기 처리장치 내부에 취입하는 기계적 인터페이스장치에 있어서, 상기 처리장치 내를 승강하는 제1의 승강수단과, 내부가 중공으로 되고, 개구를 가지는 박스형상의 기밀유지수단으로 되어있고, 상기 제1의 승강수단에 설치되고, 이 제1의 승강수단의 최대상승시에 기밀유지수단의 개구부 연부가 상기 수납물을 취입하기 위해 상기 처리장치에 설치된 장치개구부 주변의 장치내면에 당접하고, 상기 처리장치내의 기밀성을 유지하는 기밀유지수단과, 이 기밀유지수단의 내부에 설치된 제2의 승강수단과, 이 제2의 승강수단에 설치되고, 이 제2의 승강수단의 최대상승시에 상기 장치개구부를 상기 처리장치 내부에서 밀폐하고, 또한 상기 시정·해정기구의 개폐조작을 행하는 마개개폐기구를 내장하는 밀폐수단과, 상기 장치개구부 주부(周部)에 상기 밀폐컨테이너를 재치한때에 상기 밀폐컨테이너·기밀 유지수단 및 처리장치에 의해 형성되는 공간에 개스를 공급하는 급기수단과, 상기 밀폐컨테이너·기밀 유지수단 및 처리장치에 의해 형성되는 공간에서 배출되는 기체를 외부로 배출하는 배기수단과를 구비하는 것을 특징으로 하는 기계적 인터페이스장치.
  3. 제2항에 있어서, 상기 밀폐수단은 상기 장치개구부 주부에 상기 밀폐컨테이너를 재치할때에 그 재치위치를 결정하는 가이드핀을 복수 가지고, 그중 적어도 2개의 가이드핀은 내부가 중공이고, 또 그 일단은 상기 처리장치 내부측으로 개구하고, 타단은 밀폐컨테이너가 재치된때에 열린상태로 되는 개폐마개구조로 되어 있고, 이 가이드핀중 적어도 하나는 상기 처리장치 내부측으로 개구하는 일단에 가소성을 가지는 튜브가 접속되어 상기 처리장지 외부로 연통하고 있는 것을 특징으로 하는 기계적 인터페이스장치.
  4. 컨테이너본체와, 이 컨테이너본체의 개구부를 기밀히 폐쇄가능한 마개와, 이 마개 내부에 설치되고, 외부에서 조작에 의해 상기 마개의 잠금 또는 해제가능한 시정·해정기구와로 이루어지는 밀폐컨테이너에 수납된 수납물에 대하여 소정의 처리를 실시하는 처리장치에 설치되고, 상기 수납물을 외기에 접촉시키지 않고 상기 처리장치 내부에 취입하는 기계적 인터페이스장치에 있어서, 상기 처리장치 내를 승강하는 제1의 승강수단과, 내부가 중공으로 되고, 개구를 가지는 박스형상의 기밀유지수단으로, 상기 제1의 승강수단에 설치되고, 이 제1의 승강수단의 최대상승시에 기밀유지수단의 개구부 주연부가 상기 수납물을 취입하기 위해 상기 처리장치에 설치된 개구부주위의 장치내면에 당접하고, 상기 처리장치내의 기밀성을 유지하는 기밀유지수단과, 이 기밀유지수단을 내부에 설치된 제2의 승강수단과, 이 제2의 승강수단에 설치되고, 이 제2의 승강수단의 최대상승시에 상기 개구부를 상기 처리장치 내부에서 밀폐하고, 또한 상기 시정·해정기구의 개폐조작을 행하는 마개개폐기구를 내장하는 밀폐수단과, 상기 개구부 주부에 상기 밀폐컨테이너를 재치한때에 상기 밀폐컨테이너·밀폐수단 및 처리장치에 의해 형성되는 공간과, 밀폐수단·기밀 유지수단 및 처리장치에 의해 형성되는 공간과에 각각 개스를 공급하는 급기수단과, 상기 밀폐컨테이너·밀폐수단 및 처리장치에 의해 형성되는 공간과, 밀폐수단·기밀유지수단 및 처리장치에 의해 형성되는 공간에서 각각 배출되는 기체를 외부로 배출하는 배기수단과를 구비하는 것을 특징으로 하는 기계적 인터페이스장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960053625A 1995-11-13 1996-11-13 기계적 인터페이스장치 KR970030618A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP29414795A JP3796782B2 (ja) 1995-11-13 1995-11-13 機械的インターフェイス装置
JP95-29147 1995-11-13

Publications (1)

Publication Number Publication Date
KR970030618A true KR970030618A (ko) 1997-06-26

Family

ID=17803925

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960053625A KR970030618A (ko) 1995-11-13 1996-11-13 기계적 인터페이스장치

Country Status (4)

Country Link
US (1) US6152669A (ko)
JP (1) JP3796782B2 (ko)
KR (1) KR970030618A (ko)
TW (1) TW317553B (ko)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4275769B2 (ja) * 1998-06-19 2009-06-10 株式会社渡辺商行 基体の移載装置
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
JP3954287B2 (ja) 1999-06-28 2007-08-08 東京エレクトロン株式会社 ウェハキャリア用蓋体の着脱装置
JP4372901B2 (ja) * 1999-08-06 2009-11-25 大日本印刷株式会社 ケース開閉装置
JP4067720B2 (ja) * 1999-09-27 2008-03-26 ローツェ株式会社 基板移送装置
KR100345520B1 (ko) * 1999-12-31 2002-07-24 아남반도체 주식회사 파드의 락킹 장치
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
AU2001245638A1 (en) * 2000-03-13 2001-09-24 Laurier Inc. Automated feed mechanism for electronic components of silicon wafer
US6413356B1 (en) * 2000-05-02 2002-07-02 Applied Materials, Inc. Substrate loader for a semiconductor processing system
US6591162B1 (en) * 2000-08-15 2003-07-08 Asyst Technologies, Inc. Smart load port with integrated carrier monitoring and fab-wide carrier management system
US6519502B2 (en) * 2001-03-28 2003-02-11 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
KR100422904B1 (ko) * 2001-05-14 2004-03-12 아남반도체 주식회사 반도체 웨이퍼 수납용 캐리어의 보관용기 잠금장치
US7810645B2 (en) * 2002-07-03 2010-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Paddle for securely mounting a wafer cassette holder thereto
US7328836B2 (en) * 2004-02-03 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Smart tag holder and cover housing
US7611319B2 (en) * 2004-06-16 2009-11-03 Applied Materials, Inc. Methods and apparatus for identifying small lot size substrate carriers
FR2874744B1 (fr) * 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
CN1950928A (zh) * 2004-09-04 2007-04-18 应用材料公司 具有降低高度的衬底承载体
US20070116545A1 (en) * 2005-11-21 2007-05-24 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
JP4591335B2 (ja) * 2005-12-08 2010-12-01 ムラテックオートメーション株式会社 ストッカー内の棚における基板収納用容器の変位防止装置
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
KR100734654B1 (ko) * 2005-12-28 2007-07-02 동부일렉트로닉스 주식회사 Smif 장치의 파드커버 개폐장치
US7581916B2 (en) * 2006-07-14 2009-09-01 Ulvac-Phi, Inc. Sample introduction and transfer system and method
JP2009054859A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 基板受入装置及び基板受入方法
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
JP5410794B2 (ja) * 2009-03-17 2014-02-05 東京エレクトロン株式会社 基板処理装置
JP5273245B2 (ja) * 2009-05-12 2013-08-28 村田機械株式会社 パージ装置およびパージ方法
KR101359682B1 (ko) * 2010-04-02 2014-02-06 무라다기카이가부시끼가이샤 천장을 주행하는 반송차의 사이드 버퍼 및 반송차 시스템
JP5516968B2 (ja) 2010-06-08 2014-06-11 独立行政法人産業技術総合研究所 連結搬送システム
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
DE102011118276A1 (de) * 2010-11-11 2012-08-23 Zimmermann & Schilp Handhabungstechnik Gmbh Belade- und Entladeverfahren für eine Prozesskassette
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
SG11201408294QA (en) * 2012-06-14 2015-01-29 Murata Machinery Ltd Lid-opening/closing device
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6291878B2 (ja) 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10153187B2 (en) 2014-11-11 2018-12-11 Applied Materials, Inc. Methods and apparatus for transferring a substrate
US10566226B2 (en) 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
US10347516B2 (en) 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
CN105702599B (zh) * 2014-11-27 2018-07-06 北京北方华创微电子装备有限公司 反应腔室的上盖结构和反应腔室
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6477285B2 (ja) * 2015-06-19 2019-03-06 シンフォニアテクノロジー株式会社 収容物移動装置
JP6561700B2 (ja) * 2015-09-04 2019-08-21 シンフォニアテクノロジー株式会社 ガス注入装置
JP6623627B2 (ja) * 2015-09-04 2019-12-25 シンフォニアテクノロジー株式会社 ノズルユニット
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
JP6309939B2 (ja) 2015-11-26 2018-04-11 ファナック株式会社 ワークが加工される空間を画定するエンクロージャを備える加工システム
CN108292621B (zh) * 2015-11-30 2023-08-18 昕芙旎雅有限公司 装载端口
US10069030B2 (en) * 2015-12-14 2018-09-04 Solarcity Corporation Load lock solar cell transfer system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6632403B2 (ja) * 2016-02-02 2020-01-22 東京エレクトロン株式会社 基板収納容器の連結機構および連結方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6952627B2 (ja) * 2018-03-09 2021-10-20 株式会社ジェーイーエル 基板収納容器のロック解除機構
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
CN109671658B (zh) * 2018-11-29 2021-02-09 苏州方昇光电股份有限公司 基片装载系统及其基片装载方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) * 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JPH05326667A (ja) * 1992-05-21 1993-12-10 Shinko Electric Co Ltd 可搬式密閉コンテナ用ガスパージユニット
JPH07283288A (ja) * 1994-04-07 1995-10-27 Tokyo Electron Ltd 処理装置
KR100298031B1 (ko) * 1992-12-22 2001-10-24 이노마다 시게오 기계식인터페이스장치

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100303075B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
DE4326308C1 (de) * 1993-08-05 1994-10-20 Jenoptik Jena Gmbh Transportvorrichtung für Magazine zur Aufnahme scheibenförmiger Objekte
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP2850279B2 (ja) * 1994-02-22 1999-01-27 ティーディーケイ株式会社 クリーン搬送方法及び装置
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5810537A (en) * 1995-10-18 1998-09-22 Bye/Oasis Engineering Inc. Isolation chamber transfer apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724874A (en) * 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JPH05326667A (ja) * 1992-05-21 1993-12-10 Shinko Electric Co Ltd 可搬式密閉コンテナ用ガスパージユニット
KR100298031B1 (ko) * 1992-12-22 2001-10-24 이노마다 시게오 기계식인터페이스장치
JPH07283288A (ja) * 1994-04-07 1995-10-27 Tokyo Electron Ltd 処理装置

Also Published As

Publication number Publication date
TW317553B (ko) 1997-10-11
US6152669A (en) 2000-11-28
JP3796782B2 (ja) 2006-07-12
JPH09139410A (ja) 1997-05-27

Similar Documents

Publication Publication Date Title
KR970030618A (ko) 기계적 인터페이스장치
US5752796A (en) Vacuum integrated SMIF system
KR100302012B1 (ko) 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
TWI681915B (zh) 裝載埠
KR100298764B1 (ko) 가반식 밀폐 컨테이너용 가스퍼지 유니트
KR20180036962A (ko) 도어 개폐 시스템 및 도어 개폐 시스템을 구비한 로드 포트
JPH0159007B2 (ko)
TW201606917A (zh) 真空中於載具和製程機具間傳輸晶圓的裝置及方法
JPH11150178A (ja) クリーンボックス、クリーン搬送方法及び装置
KR20090034756A (ko) 피처리체의 도입 포트 기구 및 처리 시스템
KR920701512A (ko) 진공용기
KR100374255B1 (ko) 밀폐 콘테이너
TW200428565A (en) Clean device with opening/closing device of clean box
KR20030002996A (ko) 기판 수납 용기, 기판 반송 시스템 및 가스 치환 방법
JP2004527911A (ja) プリアライナー及び格納ポッド・アクセス機構を備える集積回路基板ハンドラー
JP3355697B2 (ja) 可搬式密閉コンテナおよびガスパージステーション
KR100749360B1 (ko) 반도체 수납 용기 개폐 장치 및 반도체 장치의 제조 방법
US20070116545A1 (en) Apparatus and methods for a substrate carrier having an inflatable seal
JP3783273B2 (ja) 可搬式密閉コンテナのガスパージステーション
JPH07125700A (ja) 宇宙環境試験装置
KR102145093B1 (ko) 샘플 분석 장치 및 분석 방법
JP3348468B2 (ja) 可搬式密閉容器の内部雰囲気調整装置
JP2006339618A (ja) 手動式foupオプナー
CN218182163U (zh) 一种适用于扫描电镜的自开合真空转移装置
KR200368497Y1 (ko) 스탠더드메커니컬인터페이스파드의커버개폐장치

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E601 Decision to refuse application