KR20150103706A - 템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출 - Google Patents

템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출 Download PDF

Info

Publication number
KR20150103706A
KR20150103706A KR1020157020543A KR20157020543A KR20150103706A KR 20150103706 A KR20150103706 A KR 20150103706A KR 1020157020543 A KR1020157020543 A KR 1020157020543A KR 20157020543 A KR20157020543 A KR 20157020543A KR 20150103706 A KR20150103706 A KR 20150103706A
Authority
KR
South Korea
Prior art keywords
pixel
output
template image
wafer
area
Prior art date
Application number
KR1020157020543A
Other languages
English (en)
Other versions
KR102055968B1 (ko
Inventor
싱 츄
잔 로우버
렉스 러년
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20150103706A publication Critical patent/KR20150103706A/ko
Application granted granted Critical
Publication of KR102055968B1 publication Critical patent/KR102055968B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20004Adaptive image processing
    • G06T2207/20012Locally adaptive
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Image Processing (AREA)

Abstract

웨이퍼 상의 결함을 검출하는 다양한 실시형태가 제공된다. 일부 실시형태는, 상이한 특성을 가진 디바이스 내의 영역들과 연관되어 있는 적어도 일부 픽셀이 있는 템플릿 이미지를, 전자빔 검사 시스템의 출력에 매칭하는 것과, 출력 내의 픽셀이 위치하는 영역에 기초하여, 결함 검출 파라미터를 출력 내의 픽셀에 적용함으로써 웨이퍼 상의 결함을 검출하는 것을 포함한다.

Description

템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출{DETECTING DEFECTS ON A WAFER USING TEMPLATE IMAGE MATCHING}
본 발명은 일반적으로 템플릿 이미지 매칭을 이용하여 웨이퍼 상의 결함을 검출하는 것에 관한 것이다.
이어지는 설명과 예시는 본 단락에 포함되는 것에 의해 종래 기술로 인정되지 않는다.
반도체 제조 공정의 수율을 높여 더 높은 수익을 창출하기 위해 웨이퍼 상의 결함을 검출하는 검사 공정이 반도체 제조 공정의 과정 중 다양한 단계에서 이용된다. 검사는 반도체 디바이스의 제작에서 항상 중요한 부분이다. 그러나, 반도체 디바이스의 치수가 감소함에 따라, 작은 결함이 디바이스의 고장(fail)을 야기할 수 있기 때문에, 만족할 만한 반도체 디바이스의 성공적인 제조에 있어서 검사가 더욱 더 중요해지고 있다.
검사는 일반적으로 웨이퍼를 스캐닝 및/또는 촬상함으로써 생성되는 출력에 일부 결함 검출 파라미터를 적용하는 것을 수반한다. 결함 검출 파라미터는 출력에, 또는 그 출력과 일부 참조 출력 간의 차이에 적용되는 임계치를 포함할 수 있다. 디바이스의 상이한 영역들의 거칠기(roughness)로 인한 휘도 및/또는 노이즈 등의, 출력의 가변 특성에 종속하여, 그러나 검사되는 면적 내의 영역의 위치에는 종속하지 않고, 상이한 검출 임계치가 설정될 수 있다. 그 출력에서 분석되는(resolved) 디바이스 컨텍스트(device context)에 따라 출력 내의 상이한 영역들을 따로따로 처리하는 용이한 방법이 없다.
간단한 결함 검출 이상의 정보가 검사 공정 동안 종종 생성된다. 예를 들어, 검출된 결함들은 종종 상이한 그룹들로 분류된다. 그 일례에 있어서, 결함을 발견한 후에, 이들 결함은 사이즈, 크기 및 위치 등의 결함 특성에 기초하여 상이한 그룹들로 분류될 수 있다. 결함은 또한 패치 이미지(patch image), 즉 전체 이미지의 비교적 작은 서브섹션(subsection) 내에 포함된 정보에 기초하여 분류될 수 있다. 때때로, 결함이 발견된 컨텍스트가 패치 이미지 단독으로부터 결정될 수 없다면, 그 결함을 둘러싼 이미지의 더 큰 섹션이 필요하게 된다.
따라서, 전술한 단점들 중 하나 이상을 가지지 않는, 웨이퍼 상의 결함을 검출하는 방법 및 시스템을 개발하는 것이 바람직할 것이다.
이어지는 다양한 실시형태의 설명은 어떤 식으로도, 첨부하는 청구범위의 발명의 대상을 제한하는 것으로서 해석되어서는 안 된다.
일 실시형태는 웨이퍼 상의 결함을 검출하기 위한 컴퓨터 구현 방법에 관한 것이다. 이 방법은 웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지(template image)를 생성하는 단계를 포함한다. 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진 디바이스 내의 영역들과 연관된다. 상기 방법은 또한, 상기 웨이퍼에 대한 전자빔 검사 시스템의 출력을 취득하는 단계와, 템플릿 이미지와 출력 내의 패턴들에 기초하여 템플릿 이미지를 출력에 매칭하는 단계를 포함한다. 또한, 상기 방법은 출력 내의 픽셀에 매칭하는 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여 출력 내의 픽셀이 위치하는 영역을 식별하는 단계를 포함한다. 상기 방법은 픽셀이 위치하는 영역에 기초하여 그 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 웨이퍼 상의 결함을 검출하는 단계를 더 포함한다. 전술한 단계들은 컴퓨터 시스템에 의해 수행된다.
전술한 방법의 각각의 단계들은 본 명세서에서 설명하는 대로 또한 수행될 수도 있다. 또, 전술한 방법은 본 명세서에서 설명하는 기타 방법의 기타 단계들을 포함할 수도 있다. 또, 전술한 방법은 본 명세서에서 설명하는 임의의 시스템에 의해 수행될 수도 있다.
다른 실시형태는 프로그램 명령어가 저장되어 있는 비일시적(non-transitory) 컴퓨터 판독 가능한 매체에 관한 것인데, 상기 프로그램 명령어는 컴퓨터 시스템으로 하여금 웨이퍼 상의 결함을 검출하는 컴퓨터 구현 방법을 수행하게 한다. 컴퓨터 구현 방법은 전술한 방법의 단계들을 포함한다. 컴퓨터 판독 가능한 매체는 본 명세서에서 설명한 대로 또한 구성될 수도 있다. 방법의 단계들은 본 명세서에서 또한 설명하는 대로 수행될 수도 있다. 또, 방법은 본 명세서에서 설명하는 기타 방법의 기타 단계들을 포함할 수도 있다.
추가 실시형태는 웨이퍼 상의 결함을 검출하도록 구성된 시스템에 관한 것이다. 이 시스템은 웨이퍼에 대한 출력을 취득하도록 구성된 전자빔 검사 서브시스템을 포함한다. 또한 상기 시스템은 웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지를 생성하도록 구성된 컴퓨터 서브시스템을 포함한다. 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진 디바이스 내의 영역들과 연관된다. 컴퓨터 서브시스템은 또한, 템플릿 이미지와 출력 내의 패턴들에 기초하여 템플릿 이미지를 출력에 매칭하고, 출력 내의 픽셀에 매칭하는 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여 출력 내의 픽셀이 위치하는 영역을 식별하도록 구성된다. 컴퓨터 서브시스템은 또한, 픽셀이 위치하는 영역에 기초하여 그 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 웨이퍼 상의 결함을 검출하도록 구성된다. 시스템은 또한, 본 명세서에서 설명하는 임의의 실시형태에 따라 구성될 수도 있다.
본 발명의 추가 장점은, 이어지는 바람직한 실시형태에 대한 상세한 설명 및 참조하는 첨부 도면을 통해 당업자들에게 분명해질 것이다.
도 1은 본 명세서에서 설명하는 실시형태에 따라 생성될 수 있는 템플릿 이미지의 일 실시형태의 평면도를 나타내는 개략도이다.
도 2는 템플릿 이미지를 전자빔 검사 시스템에 의해 생성된 출력에 매칭하는 일 실시형태를 나타내는 개략도이다.
도 3은 비일시적 컴퓨터 판독 가능한 매체의 일 실시형태를 나타내는 블록도이다.
도 4는 웨이퍼 상의 결함을 검출하도록 구성된 시스템의 일 실시형태를 나타내는 블록도이다.
본 발명에는 다양한 수정 및 대안적 형태가 이루어질 수 있고, 그 특정 실시형태는 도면에 예시로 도시되며, 이에 대해서는 명세서에서 상세하게 설명한다. 도면은 실척된 것이 아닐 수 있다. 한편, 도면 및 상세한 설명은 본 발명을 개시된 특정 형태로 제한하려고 의도되지 않으며, 반면 본 발명은 첨부하는 청구범위에서 정해지는 것인 본 발명의 사상 및 범위 내에 속하는 모든 변형예, 균등예 및 대안예를 포함한다고 이해되어야 한다.
이제 도면을 참조하면, 도면은 실척으로 작성되지 않았음을 알아야 한다. 구체적으로, 도면의 일부 요소의 크기는 그 요소의 특징을 강조하기 위해 크게 확대되어 있다. 또한, 도면은 같은 축적으로 작성되지 않았음을 알아야 한다. 유사하게 구성될 수 있는, 복수의 도면 내에 도시된 요소들은 같은 도면부호를 이용하여 표시되었다.
일 실시형태는 웨이퍼 상의 결함을 검출하기 위한 컴퓨터 구현 방법에 관한 것이다. 이 방법은 웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지를 생성하는 단계를 포함한다. 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진 디바이스 내의 영역들과 연관된다. 따라서, 상기 방법은 반도체 디바이스를 디바이스 컨텍스트에 따라 상이한 관심 영역들(ROI, regions of interest)로 구획한다. 그런 다음 디바이스 컨텍스트(또는 그것의 섹션)는 검사에 적절한 템플릿 이미지로 렌더링될 수 있다.
디바이스 내 영역들의 상이한 특성은 그 영역들이 최종 제조 디바이스에서 갖게 되는 상이한 전기 특성을 포함할 수 있다. 예를 들어, 본 명세서에서 더 설명하겠지만, 디바이스의 어레이 영역 내의 상이한 컨택(contact)들은 완성된 디바이스에서 상이한 전기적 기능들을 가지며, 그에 따라 상이한 전기적 특성들을 가질 수 있다. 동일한 전기적 기능을 가진 각각의 컨택은 하나의 영역으로 그룹핑될 수 있으며, 상이한 영역 내의 컨택의 전기적 기능은 상이할 수 있다. 기타 이종 디바이스 요소들도 마찬가지로 그룹핑될 수 있다. 예를 들어, 각각의 컨택은 그것의 영역과 상관없이, 전기적으로 컨택들을 서로 절연시키는 유전체 재료과는 상이한 영역 내에 있을 수 있다.
일 실시형태에 있어서, 정보는 디바이스에 대한 설계 데이터를 포함한다. 예를 들어, 디바이스 컨텍스트는 디바이스 레이아웃 설계 데이터베이스(예, GDSII 파일)의 형태로 취득될 수 있으며, 해당 기술분야에 알려진 임의의 설계 정보를 포함할 수 있다. 다른 실시형태에 있어서, 정보는 웨이퍼 상에 형성되는 디바이스의 고해상도 이미지(high resolution image)를 포함한다. 예를 들어, 디바이스 컨텍스트 정보는 검사될 디바이스의 비교적 고해상도 이미지의 형태로 취득될 수 있다. 본 명세서에 사용되는 것인 "고해상도 이미지(high resolution image)"는, 일반적으로 웨이퍼 상의 패턴이 분석되는 임의의 이미지를 지칭하며, 그 웨이퍼 상에 형성된 디바이스 내의 패턴에 관한 정보가 비교적 높은 정확도를 갖는 이미지로부터 결정될 수 있도록, 비교적 잘 분석되는 것이 바람직하다. 웨이퍼 상에 형성된 디바이스의 패턴의 고해상도 이미지는 예컨대 전자빔 검사 시스템을 이용하여 취득될 수 있다. 이런 식으로 비교적 양호한 품질의 이미지로부터 컨텍스트가 실험적으로 도출될 수 있다.
일 실시형태에 있어서, 상기 방법은, 본 명세서에서 추가로 설명하겠지만 출력을 취득하기 전에, 템플릿 이미지 내의 픽셀과 연관되는 영역을 결정하는 단계를 포함한다. 예를 들어, 상기 방법은 웨이퍼의 검사 전에, 반도체 디바이스를 상이한 ROI로 구획할 수 있다. 그런 다음, 상이한 영역에 대한 정보를 갖는 템플릿 이미지는, 웨이퍼의 검사를 수행할 검사 시스템에 의해 액세스될 수 있는 일부 파일 또는 데이터 구조로 저장될 수 있다. 또한, 템플릿 이미지 및 연관된 정보는 복수의 웨이퍼의 검사에 이용될 수 있다.
다른 실시형태에 있어서, 상기 방법은, 디바이스의 특성, 관심 결함(defect of interest, DOI), 알려진 누이상스 결함(nuisance defect), 또는 이들의 일부 조합에 기초하여, 템플릿 이미지 내의 픽셀과 연관되는 영역을 결정하는 단계를 포함한다. 예를 들어, 디바이스 물리특성(device physics), 현재의 DOI, 및/또는 우세 누이상스의 존재에 기초하여 디바이스 컨텍스트를 복수의 영역을 구획하기 위해 분할 스킴(segmentation scheme)이 구축될 수 있다. 다수의 경우에, 셀 또는 디바이스 내에서의 DOI의 위치는 노이즈 및/또는 누이상스의 위치인 것으로 알려져 있다. 상기 정보는 템플릿 내의 영역들을, DOI에 대응하는 것들과 대응하지 않는 것들로 구별하는데 이용될 수 있다. 디바이스 컨텍스트 기반의 분할 스킴은 설계에 기초한 핫 스팟(hot spot) 분석 소프트웨어의 지원으로 구축될 수 있다. 또한, 추출된 단위 셀 컨텍스트로부터, 사용자는 관심 영역들을 구획할 수 있고, 이들은 단지 검사 중에 결함에 대해 검사될 영역일 수 있다. 그런데, 이들 영역의 결정이 완전히 자동화될 수 있다.
일 실시형태에 있어서, 그 영역들 중 적어도 하나는 디바이스의 반복성 메모리 셀 구조(repeating memory cell structure) 내의 단일 컨택에만 대응한다. 예를 들어, 영역들은 반복성 메모리 셀 구조 내에서 개별 컨택만큼 작을 수도 있다. 또한, 그 영역들 중 하나 이상은 디바이스 내의 컨택만큼 또는 기타 특징부나 구조만큼 작을 수 있고, 다른 영역은 복수의 특징부, 층 등을 포함할 수 있다.
다른 실시형태에 있어서, 그 영역들 중 적어도 일부는 디바이스의 반복성 메모리 셀 구조 내의 상이한 유형의 컨택에 대응한다. 예를 들어, 도 1은 메모리 셀 구조의 검사에 이용될 수 있는 템플릿 이미지의 일 실시형태를 도시하고 있다. 본 명세서에서 추가로 설명하겠지만, 단위 셀에 대한 디바이스 컨텍스트는 설계 디바이스로부터 또는 고해상도 이미지로부터 식별될 수 있다. 도 1에 도시하는 분할 스킴은 디바이스의 이 부분 내의 구조들을, 배경(background) 유전체, 상이한 컨택 그룹, 및 상이한 영역이 되는 컨텍스트 내의 특정 위치로 구획할 수 있다. 예를 들어, 도 1에 도시하는 바와 같이, 하나의 유형을 갖는 한 그룹의 컨택은 ROI 또는 DOI 영역인 제1 영역(10)으로서 식별될 수 있다. 제1 그룹과는 상이한 제2 타입을 갖는 다른 그룹의 컨택 역시 ROI 또는 DOI 영역인 제2 영역(12)으로서 식별될 수 있다. 제1 및 제2 그룹과는 상이한 제3 타입을 갖는 추가 그룹의 컨택은 ROI가 아닌 제3 영역(14)로서 식별될 수 있다. 예를 들어, 이 그룹의 컨택은 DIO가 없는 위치 내의 또는 근처의 컨택일 수 있다. 제4 영역(16)은 아마도 DOI를 포함하는 것으로서 관심 대상이 되는 컨택들 중 일부 근처의 위치로서 식별될 수 있다. 유전체 배경부는 관심 영역이 아닌 제5 영역(18)으로서 식별될 수 있다. 그런 다음, 디바이스 컨텍스트는 본 명세서에서 추가로 설명하는 대로 수행될 수 있는 이어지는 검사에서 이미지와 매칭하기에 적절한 템플릿 이미지로 렌더링될 수 있다.
일부 실시형태에에 있어서, 영역들 중 적어도 하나는 디바이스의 반복성 메모리 셀 구조 내의 컨택들 사이의 산화물 영역에 대응하고, 본 명세서에서 추가로 설명하겠지만 결함 검출 파라미터를 적용하는 것은 이 산화물 영역에 대해서는 수행되지 않는다. 예를 들어, 컨택들 간의 산화물 영역과 같은 일부 영역은 검사로부터 배제될 수 있다. 도 1에 도시하는 예에 있어서, 반복성 메모리 셀 구조 내의 컨택들 사이에 유전체 배경부를 포함하는 제5 영역(18)은 비ROI(non-ROI)로서 표시될 수 있고, 그 영역 내에 위치한 픽셀에 대해서는 결함 검출이 행해지지 않는다.
본 명세서에서 설명하는 바와 같이, 영역들은 디바이스 내의 특징부의 전기 특성, 알려진 DOI, 및 알려진 누이상스에 기초하여 규정될 수 있다. 따라서, 검사 시스템에서 유사한 특성(예, 노이즈, 신호, 신호대잡음비, 휘도, 콘트라스트, 및 기타 이미지, 신호 또는 데이터 특성)을 갖는 출력을 생성할 수 있는 영역들이 상이한 영역으로 구별될 수 있다. 다시 말해, 이미지 특성에 기초하여 픽셀을 구별할 수 있는 다른 방법들과 달리, 본 명세서에서 설명하는 실시형태는 검사 면적의 적어도 일부를, 영역들이 검사 시스템 출력에 어떻게 영향을 끼치는지에 상관없이, 그 영역들로 구별할 수 있다.
추가 실시형태에 있어서, 본 방법은 디바이스에 관한 정보를 이용하여 복수의 템플릿 이미지를 생성하는 단계를 포함하고, 복수의 템플릿 이미지 각각은 복수의 픽셀 사이즈와, 본 명세서에서 추가로 설명하는 전자빔 검사 시스템에 대한 광학 조건 중 하나에 대해 생성된다. 예를 들어, 템플릿 이미지(즉, 추출된 단위 셀 컨텍스트)는 검사 시스템의 픽셀 사이즈(즉, 정확한 픽셀 사이즈)로 렌더링될 수 있다. 또한, 비교적 고해상도 단위 셀은 복수의 픽셀 사이즈 및/또는 광학 조건에서 이용되도록 렌더링될 수 있다. 이런 식으로, 템플릿 이미지는, 템플릿 이미지에 대응하는 디바이스의 부분이 검사 시스템에서 어떻게 보일지 시뮬레이션함으로써 템플릿 이미지를 검사 시스템의 출력에 정확히 매칭하는 방법의 능력을 증가시키도록 렌더링될 수 있다. 또한, 복수의 템플릿 이미지가 존재할 경우, 그 각각은 상이한 픽셀 사이즈 및/또는 검사 시스템의 다른 광학 조건에 대응하고, 정확한 템플릿 이미지는, 웨이퍼의 검사에 이용될 검사 레시피 내의 검사 시스템의 파라미터에 기초하여 검사의 초기에 선택될 수 있다.
본 방법은 또한 웨이퍼에 대해 전자빔 검사 시스템의 출력을 취득하는 단계를 포함한다. 출력을 취득하는 단계는 (예컨대, 전자빔 검사 시스템을 이용하여 웨이퍼를 스캐닝함으로써) 웨이퍼의 검사를 실제로 행하는 단계를 포함할 수 있다. 그런데, 출력을 취득하는 단계가 웨이퍼 상에서 검사를 행하는 단계를 포함하지 않을 수도 있다. 예를 들어, 출력을 취득하는 단계는, 다른 방법 또는 전자빔 검사 시스템에 의해 출력이 저장되어 있는 기억 매체로부터 출력을 취득하는 단계를 포함할 수도 있다. 검사는 본 명세서에서 추가로 설명하는 것들 중 임의의 것을 포함하는 임의의 적절한 검사를 포함할 수 있다. 출력은 검사 공정 또는 시스템에 의해 생성될 수 있는 임의 및 모든 출력을 포함할 수 있다.
본 방법은 템플릿 이미지와 출력 내의 패턴들에 기초하여 템플릿 이미지를 출력에 매칭하는 단계를 더 포함한다. 예를 들어, 검사 공정 과정에서, 디바이스 컨텍스트 템플릿 이미지는 취득된 출력(예, 검사 이미지 또는 이미지들)에 매칭되어, 검사 이미지 내의 컨텍스트(예, 각각의 단위 셀 컨텍스트)의 위치가 결정되게 한다. 구체적으로, 패턴 매칭은 디바이스의 어레이 영역 또는 다른 영역 내의 템플릿 이미지에 대응하는 디바이스의 단위 셀 또는 부분을 찾는데 이용될 수 있다. 그러한 일 실시형태에 있어서, 도 2에 도시하는 바와 같이, 템플릿 이미지(20)는 일치(match)가 발견될 때까지 검사 이미지(26) 내의 다양한 위치(22, 24)로 이동할 수 있다. 예를 들어, 템플릿 이미지(20)는 위치(22)에서 검사 이미지 위에 오버레이될 수 있고, 템플릿 이미지 내의 패턴과 검사 이미지의 그 부분의 일치가 발견되지 않기 때문에, 템플릿 이미지는 다른 위치, 즉 위치(24)에서 검사 이미지 위에 오버레이될 수 있으며, 이 위치에서는 패턴들 간의 일치가 발견된다.
도 2에 도시하는 바와 같이, 템플릿 이미지는, 템플릿 이미지와 검사 이미지 간의 일치를 발견할 수 있기에 충분한 픽셀을 검사 이미지가 포함하도록, 검사 이미지보다 작을 수 있다. 또한, 도 2에 도시하는 바와 같이, 검사 이미지의 복수의 부분이 템플릿 이미지에 매칭할 수 있다. 이 경우에도, 검사 이미지와 템플릿 이미지의 상이한 부분들 간에 복수의 일치가 찾아져서 발견되거나, 검사 이미지와 템플릿 이미지 간의 일치의 일례가 매칭이 발견된 다음에, 본 명세서에서 추가로 설명하는 바와 같이 그 매칭이 검사 이미지 도처로 넓어질(propagating) 수 있다.
또한 도 2에 도시하는 바와 같이, 템플릿 이미지는 템플릿 이미지 내의 상이한 영역들(즉, 다양한 컨택들이 지정되는 상이한 영역들)에 관한 정보를 포함할 수 있다. 그러나, 템플릿 이미지 및 상이한 영역들에 관한 정보는 (예컨대, 상이한 영역들에 관한 정보가 매칭 단계를 보다 어렵게 한다면) 상이한 데이터 구조로 저장될 수도 있다.
템플릿 이미지와, 전자빔 검사 시스템의 출력 간의 일치는 "완전한(perfect)" 일치가 발견될 수 없는 상황에서 선언될 수 있다. 예를 들어, "매칭"은 "완전한 일치"를 찾는 것을 포함할 수 있고, 또한 일부 불확정성 또는 에러의 범위 내에서 일치한다. 이런 식으로, 매칭은 전자빔 검사 시스템의 출력이 웨이퍼 그 자체의 변화로 인해 달라질 수 있다는 사실을 고려하여 수행될 수 있으며, 이 웨이퍼 자체의 변화는 예컨대 웨이퍼 상에 패턴을 형성하는데 이용된 공정의 파라미터의 변동에 의해 야기될 수 있다.
일 실시형태에 있어서, 템플릿 이미지는 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 템플릿 이미지를 출력에 매칭하는 것은, 패턴들에 기초하여, 어레이 영역 내의 하나의 단위 셀에 대응하는 출력 내의 픽셀에 템플릿 이미지를 매칭하는 것과, 단위 셀 및 어레이 영역에 관한 정보에 기초하여 매칭을 어레이 영역 도처로 넓히는 것을 포함한다. 예를 들어, 단위 셀은 어레이 셀 사이즈와 소(small) 탐색 범위를 이용하여 어레이 영역 도처로 넓어질 수 있다. 다시 말해, 템플릿 이미지가 하나의 단위 셀에 대응할 경우, 어레이 셀이 복수의 단위 셀로 구성되기 때문에, 템플릿 이미지와 출력의 작은 부분 간에 일치가 발견된다면, 템플릿 이미지는 출력 내의 하나의 단위 셀에 일치할 것이다. 그런 다음, 어레이 영역 내의 단위 셀의 치수 및 배열에 관한 정보는, 매칭을 수행하지 않고서 그 출력 내의 다른 단위 셀을 식별하는데 이용될 수 있다. 이런 식으로 매칭을 퍼지게 하는 것은, 검사 공정 전체의 속도를 높일 수 있고, 동일한 어레이 영역 내에서 단위 셀에 걸쳐 웨이퍼 특성이 변하는 경우에 있어서, 이런 식으로 매칭을 퍼지게 하면 출력 내의 단위 셀의 위치를 식별할 수 있는 정확도를 상승시킬 수 있다.
다른 실시형태에 있어서, 템플릿 이미지는 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 템플릿 이미지를 출력에 매칭하는 것은 어레이 영역에서 관심 영역 내의 단위 셀의 전체 행과 열에 대해 행해진다. 예를 들어, "스마트" 어레이 검사에 있어서, 템플릿 어레이를 검사 픽셀에 패턴 매칭하는 것은 어레이 관심 영역 내의 셀의 완전한 행과 열에 대해 행해질 수 있다. 어레이 관심 영역은 본 명세서에서 설명하는 실시형태나 다른 방법 또는 시스템에 의해 임의의 적절한 방식으로 결정될 수 있다.
일부 실시형태에 있어서, 템플릿 이미지는 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 매칭은 그 어레이 영역 내의 단위 셀마다 행해진다. 예를 들어, 어레이 실시간 얼라인먼트(real time alignment, RTA)의 경우, 패턴 매칭은 어레이 영역 내부의 단위 셀마다 행해질 수 있다. 또한, 이 얼라인먼트는 다중 분할 얼라인먼트(multi-segmented alignment, MS)와 마찬가지로, x 및 y 양방향으로 행해질 수 있다.
또한, 본 방법은 출력 내의 픽셀에 매칭하는 템플릿 이미지 내의 픽셀과 연관되는 영역에 기초하여, 출력 내의 픽셀이 위치하는 영역을 식별하는 단계를 포함한다. 이런 식으로, 검사 과정에서, 상이한 영역들의 위치는 이미지 내에서 분석된 디바이스 컨텍스트의 세부내용(detail)에 따라 식별된다. 그런 다음, 이미지 내 각각의 픽셀은 미리 정해진 영역들 중 하나에 지정될 수 있다. 이런 식으로, 디바이스 컨텍스트의 렌더링 및 매칭은, 검사 이미지 내에서 컨텍스트의 위치를 식별하기 위한 목적으로 수행된다. 또한, 검사 이미지의 디바이스 컨텍스트 기반의 분할은 결함 검출 이전에 수행될 수 있다. 이 경우에도, 본 명세서에서 설명하는 실시형태들은 검사 이미지 내의 픽셀을 이용하여 검사가 수행되기 전에 그 검사 이미지 내의 픽셀을 "저장하는데(bin)" 이용될 수 있다.
일 실시형태에 있어서, 영역을 식별하는 것은, 템플릿 이미지에 매칭하는 출력 내의 픽셀 상에 영역 분할 스킴을 오버레이하는 것을 포함한다. 예를 들어, 검사 이미지 내에서의 컨텍스트의 위치가 취득되면, 검사 이미지 내의 모든 픽셀을 상이한 영역으로 구획하기 위해 영역 분할 스킴이 검사 이미지 상에 오버레이될 수 있다. 또한, 각각의 단위 셀 컨텍스트의 위치가 취득되면, 영역 분할 스킴이 기타 방식으로 적용될 수 있고, 그 검사 이미지 내의 모든 픽셀은 적절한 영역으로 구획될 수 있다.
또한, 본 방법은 픽셀이 위치하는 영역에 기초하여 그 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 웨이퍼 상의 결함을 검출하는 단계를 더 포함한다. 이런 식으로, 본 명세서에서 설명하는 실시형태들은 컨텍스트에 따른(context sensitive) 전자빔 웨이퍼 검사를 위해 구성된다. 예를 들어, 상이한 영역들 내의 픽셀은 각 개별 영역에 대해 적절한 상이한 결함 검출 방법을 이용해서 따로따로 처리될 수 있다. 그러한 일례에 있어서, 각 영역 내의 픽셀들은 상이한 검출 방법으로 처리되거나, 원한다면 모두 무시될 수도 있다. 예를 들어, 복수의 관심 영역 그룹이 존재할 수도 있고, 각각의 그룹은 그 자체의 임계치, 결함 검출 방법, 또는 결함 검출 파라미터를 가질 수 있다. 다른 예로, 컨텍스트 영역의 검사 과정에서, 셀 내의 각각의 특징부의 정확한 위치가 본 명세서에서 설명하는 매칭으로 인해 알려지기 때문에, 그 영역 각각은 자신의 개별 임계 방법과 파라미터에 의해 따로따로 히스토그램 작성되어 검사될 수 있다. 또한, 일부 영역들은 배경부로 표시되고 검사되지 않을 것이다.
이런 식으로, 컨텍스트에 따른 검사(context sensitive inspection, CSI)는, DOI가 발생할 것으로 예상되는 민감한 위치에 있는 특정 ROI의 목표 검사를 수행하기 위해 어레이 영역 내의 단위 셀이나 디바이스 내의 기타 영역에 관한 설계 지식을 이용할 수 있다. 또한, 본 명세서에서 설명하는 실시형태는, 관심 대상이 아닌 배경 영역은 무시하고, 잠재적인 관심 대상에 속하는 셀의 부분만 검사되게 하도록, 주사 이미지(swath image) 내의 각각의 단위 셀의 위치 및 그 셀 내의 ROI의 위치를 결정하는데 이용될 수 있다. 이런 식으로, 사용자에 의해 정의된 관심 영역 내의 픽셀만이 결함에 대해 검사될 수 있다. 이에, 이들 영역 외부의 누이상스는 DOI에 대한 신호대잡음비를 저감시키지 않고 필터링되어 제거될 필요도 없다. 이 경우에도, 본 명세서에서 설명한 실시형태는 디바이스 컨텍스트의 설계 지식에 기초하여, 사용자가 목표로 한 검사를 수행할 수 있는 합리적인 방법을 제공한다. 또한, 검사 이미지의 분할로, 사용자에게 각 영역마다 검사를 맞춤화할 수 있는 유연성을 줄 수 있어, 새로운 방식은 누이상스 결함을 억제하고 DOI에 대해 검사 민감도를 높이는 것이 가능하다. 또한, 관련없는 누이상스를 억제할 필요 없이, DOI에 대해서만 신호대잡음비를 높이기 위해, 광학 선택(optics selection)이, 본 명세서에서 설명하는 방법에 의한 누이상스 검출의 감소를 이용할 수 있다. 그러한 일례에 있어서, 본 명세서에서 설명하는 실시형태는 광학 선택기와 함께, 검사 시스템의 이득이 ROI의 콘트라스트를 최대화하도록 조정되는 심지어 이미지 최적화로 이용될 수 있으며, 이 광학 선택기는 2011년 12월 6일자로 Fischer 등에게 특허 허여된 미국 특허 제8,073,240호에 설명된 바와 같이 구성 및/또는 수행될 수 있고, 이 특허문헌은 본 명세서에 전체가 개시되는 것처럼 인용에 의해 포함된다.
일 실시형태에 있어서, 결함 검출 파라미터는, 영역들 중 하나 이상에서 결함 검출을 수행하는 지의 여부와, 결함 검출이 수행되어야 하는 영역에 대해, 픽셀이 위치하는 영역에 종속된 임계치를 포함하며, 그 임계치는 출력 내의 픽셀과 참조 픽셀 간의 차이에 적용되는 것이다. 예를 들어, 영역 분할 스킴이 검사 이미지 내의 픽셀에 적용된 후에, 배경 유전체와 비DOI(non-DOI)로부터의 모든 정보는 무시하면서, 미리 정해진 DOI 영역(그 각각은 자신의 검출 임계치를 가짐)에 대해 결함 검출이 수행될 수 있다. 각각의 영역의 검출 임계치는 개별적으로 설정될 수 있다. 그러한 일례로, 셀의 레이아웃을 알고 있다면, 각각의 컨택은 그 자신의 영역에 그 자신의 임계치가 지정될 수 있다. 비교적 작은 DOI가 예상되는 영역은 비교적 높은 감도로 검사될 수 있는 반면, 대량의 누설(leakage) 누이상스 결함을 포함할 수 있는 다른 영역들은 디튜닝(detune)될 수 있다. 또한, 상당한 노이즈를 포함하는 영역은 결함 검출 알고리즘을 제압하기 전에 배제될 수 있다. 이에, 임계치가 다른 영역에서는 상당히 낮아질 수 있어, DOI의 검출을 가능하게 하거나 최적화할 수 있다.
그러한 일례에 있어서, 전자빔 검사 시스템 광학계에 의해 비교적 잘 분석된 메모리 구조는 PMOS/NMOS/비트라인 또는 워드라인 컨택 등의 개별 컨택 타입으로 구획될 수 있다. 이들 컨택의 각각에 대한 임계치는 개별로 설정될 수 있다. 예를 들어, 어느 한 컨택 타입에, 누이상스라고 간주되는, 누설에 의해 유도된 그레이 레벨 변동이 생기기 쉽다면, 그 타입의 검출 임계치는 누이상스로 검사 결과를 제압하지 않도록 디튜닝될 수 있다. 이들 누설 경향이 있는 컨택의 분할은 누설 신호가, 다른 영역으로부터의 결함의 검출 감도에 영향을 주는 것을 막을 수 있어, 고객이 관심을 가진 결함 타입에 대한 검사 감도 전체를 크게 향상시킬 수 있다.
다른 실시형태에 있어서, 결함 검출 파라미터를 적용하는 것은, 참조 이미지를 생성하기 위하여 디바이스의 어레이 영역 내의 복수의 단위 셀에 대응하는 출력 내의 복수의 픽셀을 평균화하는 것과, 차이 이미지를 생성하기 위하여 복수의 단위 셀 중 하나에 대응하는, 출력 내의 테스트 이미지로부터 상기 참조 이미지를 차감하는 것과, 차이 이미지 내의 픽셀이 위치하는 영역에 기초하여, 차이 이미지에 결함 검출 파라미터를 적용하는 것을 포함한다. 예를 들어, 이미지 평균화에 있어서, 인접한 셀들은 얼라인먼트의 제약 없이, 비교적 낮은 노이즈의 참조 이미지를 위해 평균화될 수 있다. 그 상하에 있는 셀도 평균화에 이용될 수 있다.
일 실시형태에 있어서, 본 발명은 검출된 결함을, 그 결함이 위치하는 영역과 자동으로 연관시키는 단계를 포함한다. 다시 말해, 본 명세서에서 설명하는 바와 같이 검출되는 결함은 그 결함이 검출되는 영역과 자동으로 연관될 수 있다. 예를 들어, 본 명세서에서 설명하는 검사시에 검출된 모든 결함은 단위 셀 컨텍스트 내의 특정 영역 및 상대 위치와 연관될 수 있다.
그런 다음 전술한 정보는 결함의 추가 분류에 이용될 수 있다. 예를 들어, 다른 실시형태에 있어서, 본 방법은 검출된 결함을, 그 결함이 위치하는 영역에 기초하여 분류하는 단계를 포함한다. 이런 식으로, 검사시에 검출되는 임의의 결함은 그 결함이 발견된 영역에 따라 자동으로 분류될 수 있다. 이 경우에도, 결함이 검출되는 영역에 관한 정보는 결함의 추가 분류에 이용될 수 있다. 또한, 검출된 결함을 분류하는 것은, 결함 분류의 목적을 위해 (디바이스 컨텍스트 기반의 분할로부터 자동으로 취득되는)각 결함마다 영역 및 컨텍스트 내의 위치 정보(location-within-context information)를 이용하는 것을 포함할 수 있다. 이런 식으로, 검사시에 검출된 결함은 디바이스 컨텍스트 기반의 영역 및/또는 위치에 따라 분류되어, 사용자에게 설계에 관한 유용한 정보를 더 빠르게 제공할 수 있다.
일부 실시형태에 있어서, 디바이스 내의 각각의 컨택 타입은 영역들의 상이한 것과 연관되고, 본 방법은 검출된 결함의 밀도를 각 컨택 타입으로 표시하는 단계를 포함한다. 예를 들어, 검출된 결함은 그 결함이 위치하는 템플릿 이미지 내의 영역에 기초하여 영역에 따라 자동으로 저장(bin)될 수 있다. 이에, 각각의 결함 타입의 웨이퍼 맵은 생성될 필요가 없다. 또한 모든 컨택 타입들은 그 자체의 ROI로서 설정될 수 있다. 검사후에, 각각의 컨택 타입의 결함 밀도는 임의의 적절한 방식으로 표시될 수 있다. 그러한 일례에 있어서, 결함 밀도는 PMOS 컨택 결함, 비트라인 컨택 오성형(mis-shaped) 결함, 비트라인 컨택 오픈(open) 결함, 워드라인 컨택 오픈 결함, 및/또는 NMOS S/D 컨택 오픈 결함에 대해 표시될 수 있다.
템플릿 이미지를 생성하는 것, 출력을 취득하는 것, 템플릿 이미지를 출력에 매칭하는 것, 영역을 식별하는 것, 및 결함 검출 파라미터를 적용하는 것은 본 명세서에서 추가로 설명하는 바와 같이 구성될 수 있는 컴퓨터 시스템을 이용하여 수행될 수 있다.
본 발명의 단계들 중 일부를, 디바이스의 메모리 셀 부분에 대해 본 명세서에서 설명하였지만, 웨이퍼의 비메모리 셀 부분에 대해서도, 관련 설계 파라미터가 이용 가능하다면, 같은 동작들이 수행될 수 있다.
본 명세서에서 설명하는 방법들은 모두 본 발명의 실시형태의 하나 이상의 단계들의 결과를 비일시적(non-transitory) 컴퓨터 판독 가능한 저장 매체에 저장하는 단계를 포함할 수 있다. 그 결과는 본 명세서에서 설명한 임의의 결과를 포함할 수 있고 해당 기술 분야에 알려진 임의의 방법으로 저장될 수 있다. 저장 매체는 본 명세서에서 설명한 임의의 저장 매체 또는 해당 기술 분야에 알려진 기타 적절한 저장 매체를 포함할 수 있다. 결과가 저장된 후에, 그 결과는 저장 매체에서 액세스되고, 본 명세서에서 설명한 방법 또는 시스템 중 임의의 것에 의해 이용되고, 사용자에 표시하기 위해 포맷팅되고, 다른 소프트웨어 모듈, 방법 또는 시스템에 의해 이용되고, 기타의 방식으로 이용될 수 있다.
전술한 방법의 실시형태 각각은 본 명세서에서 설명하는 기타 방법의 기타 단계를 포함할 수도 있다. 또, 전술한 방법의 실시형태 각각은 본 명세서에서 설명하는 임의의 시스템에 의해 수행될 수도 있다.
다른 실시형태는 프로그램 명령어가 저장되어 있는 비일시적 컴퓨터 판독 가능한 매체에 관한 것인데, 상기 프로그램 명령어는 컴퓨터 시스템으로 하여금 웨이퍼 상의 결함을 검출하는 컴퓨터 구현 방법을 수행하게 한다. 상기 컴퓨터 판독 가능한 매체의 일 실시형태가 도 3에 도시된다. 구체적으로, 컴퓨터 판독 가능한 매체(28)는 저장되어 있는 프로그램 명령어(30)를 포함하고, 이 프로그램 명령어는 컴퓨터 시스템(32)으로 하여금 웨이퍼 상의 결함을 검출하는 컴퓨터 구현 방법을 수행하게 한다.
컴퓨터 구현 방법은 본 명세서에서 설명하는 방법의 단계들을 포함한다. 컴퓨터 구현 방법은 또한 본 명세서에서 설명하는 기타 방법의 기타 단계도 포함할 수 있다. 또한, 컴퓨터 판독 가능한 매체는 본 명세서에서 설명한 대로 또한 구성될 수도 있다.
본 명세서에서 설명한 바와 같은 방법을 구현하는 프로그램 명령어(30)는 컴퓨터 판독 가능한 매체(28) 상에 저장될 수 있다. 컴퓨터 판독 가능한 매체는 자기 또는 광 디스크, 자기 테이프, 또는 해당 기술 분야에 알려진 기타 적절한 비일시적 컴퓨터 판독 가능한 매체 등의 비일시적 컴퓨터 판독 가능한 저장 매체일 수 있다.
프로그램 명령어는 무엇보다도 프로시저 기반의 기술, 컴포넌트 기반의 기술, 및/또는 객체 지향 기술을 비롯한 다양한 방식 중 임의의 것으로 구현될 수 있다. 예를 들어, 프로그램 명령어는 원하는 대로, ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes("MFC"), 또는 다른 기술이나 방법론을 이용하여 구현될 수 있다.
컴퓨터 시스템(32)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬형 프로세서 또는 해당 기술 분야에 알려진 기타 장치를 비롯한 다양한 형태를 취할 수 있다. 일반적으로, "컴퓨터 시스템"이란 용어는 메모리 매체로부터 명령어를 실행하는, 하나 이상의 프로세서를 갖는 임의의 장치를 망라하도록 넓게 정의될 수 있다.
도 4는 웨이퍼 상의 결함을 검출하도록 구성된 시스템의 일 실시형태를 나타내고 있다. 이 시스템은 웨이퍼에 대한 출력을 취득하도록 구성된 전자빔 검사 서브시스템(34)을 포함한다. 전자빔 검사 서브시스템은 KLA-Tencor사에서 시판중인 임의의 검사 툴 등의 기존의 검사 서브시스템을 포함할 수 있다(예, 본 명세서에서 설명한 기능을 기존의 검사 시스템에 추가함으로써). 그러한 일부 시스템의 경우, 본 명세서에서 설명한 방법은 시스템의 선택적 기능으로서(예, 시스템의 다른 기능에 더하여) 제공될 수 있다. 한편, 본 명세서에서 설명한 시스템은 완전히 새로운 시스템을 제공하기 위해 "맨 처음부터(from scratch)" 설계될 수 있다.
또한 시스템은 본 명세서에서 설명한 임의의 실시형태에 따라, 웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지를 생성하도록 구성된 컴퓨터 서브시스템(36)을 포함한다. 본 명세서에서 추가로 설명한 바와 같이, 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진, 디바이스 내의 영역들과 연관된다. 컴퓨터 서브시스템은 또한 템플릿 이미지와 출력 내의 패턴들에 기초하여 템플릿 이미지를 출력에 매칭하도록 구성되며, 이는 본 명세서에서 추가로 설명한 임의의 실시형태에 따라 수행될 수 있다. 또한, 컴퓨터 서브시스템은 출력 내의 픽셀에 매칭하는 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여, 출력 내의 픽셀이 위치하는 영역을 식별하도록 구성되며, 이는 본 명세서에서 추가로 설명한 임의의 실시형태에 따라 수행될 수 있다. 컴퓨터 서브시스템은 또한, 픽셀이 위치하는 영역에 기초하여 그 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 웨이퍼 상의 결함을 검출하도록 구성되며, 이는 본 명세서에서 추가로 설명한 임의의 실시형태에 따라 수행될 수 있다. 컴퓨터 서브시스템 및 시스템은 또한 본 명세서에서 설명한 기타 방법의 기타 단계를 수행하도록 구성될 수 있다.
본 명세서를 검토한 당업자에게는, 본 발명의 다양한 양태의 추가 변형 및 대안적 실시형태가 명백해질 것이다. 예를 들어, 웨이퍼 상의 결함을 검출하는 방법 및 시스템이 제공된다. 따라서, 이상의 설명은 예시로서만 해석되어야 하며, 본 발명을 수행하는 일반적인 방식으로 당업자를 교시하기 위함이다. 본 명세서에서 나타내고 설명한 형태들은 현시점에서 바람직한 실시형태로서 받아들여야 한다고 이해하면 된다. 본 발명의 설명의 도움을 받은 후에 당업자에게 모두 명백한 바와 같이, 본 명세서에서 예시하고 설명한 것들에 대해 구성요소 및 재료가 대체될 수도 있고, 부분 및 공정은 전환될 수도 있으며, 본 발명의 소정의 특징들이 독립적으로 이용될 수도 있다. 이하의 청구범위에서 나타내는 발명의 사상 및 범위 내에서 이탈하지 않고서 본 명세서에 설명하는 구성요소에 있어서 변화가 있을 수 있다.

Claims (20)

  1. 웨이퍼 상의 결함을 검출하기 위한 컴퓨터 구현 방법(computer-implemented method)에 있어서,
    웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지(template image)를 생성하는 단계로서, 상기 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진, 상기 디바이스 내의 영역들과 연관되는 것인 상기 생성하는 단계와,
    상기 웨이퍼에 대해 전자빔 검사 시스템의 출력을 취득하는 단계와,
    상기 템플릿 이미지와 상기 출력 내의 패턴들에 기초하여 상기 템플릿 이미지를 상기 출력에 매칭하는 단계와,
    상기 출력 내의 픽셀에 매칭하는 상기 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여, 상기 출력 내의 픽셀이 위치하는 영역을 식별하는 단계와,
    상기 픽셀이 위치하는 영역에 기초하여 상기 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 상기 웨이퍼 상의 결함을 검출하는 상기 결함 검출 파라미터를 적용하는 단계
    를 포함하고, 상기 생성하는 단계, 상기 취득하는 단계, 상기 매칭하는 단계와, 상기 식별하는 단계, 및 상기 적용하는 단계는 컴퓨터 시스템을 이용하여 수행되는 것인 컴퓨터 구현 방법.
  2. 제1항에 있어서, 상기 정보는 상기 디바이스에 대한 설계 데이터를 포함하는 것인 컴퓨터 구현 방법.
  3. 제1항에 있어서, 상기 정보는 상기 웨이퍼 상에 형성되는 디바이스의 고해상도 이미지를 포함하는 것인 컴퓨터 구현 방법.
  4. 제1항에 있어서, 상기 취득하는 단계 전에, 상기 템플릿 이미지 내의 픽셀과 연관되는 영역을 결정하는 단계를 더 포함하는 컴퓨터 구현 방법.
  5. 제1항에 있어서, 상기 디바이스의 특성, 관심 결함(defect of interest), 알려진 누이상스(nuisance) 결함, 또는 이들의 일부 조합에 기초하여, 상기 템플릿 이미지 내의 픽셀과 연관되는 영역을 결정하는 단계를 더 포함하는 컴퓨터 구현 방법.
  6. 제1항에 있어서, 상기 영역들 중 적어도 하나는, 상기 디바이스의 반복성 메모리 셀 구조(repeating memory cell structure) 내의 단일 컨택에만 대응하는 것인 컴퓨터 구현 방법.
  7. 제1항에 있어서, 상기 영역들 중 적어도 일부는, 상기 디바이스의 반복성 메모리 셀 구조 내의 상이한 타입들의 컨택들에 대응하는 것인 컴퓨터 구현 방법.
  8. 제1항에 있어서, 상기 영역들 중 적어도 하나는, 상기 디바이스의 반복성 메모리 셀 구조 내의 컨택들 사이의 산화물 영역에 대응하고, 상기 적용하는 단계는 상기 산화물 영역에 대해 수행되지 않는 것인 컴퓨터 구현 방법.
  9. 제1항에 있어서, 상기 디바이스에 관한 정보를 이용하여 복수의 템플릿 이미지를 생성하는 단계를 더 포함하고, 상기 복수의 템플릿 이미지 각각은, 복수의 픽셀 사이즈와, 상기 전자빔 검사 시스템에 대한 광학 조건 중 하나에 대해 생성되는 것인 컴퓨터 구현 방법.
  10. 제1항에 있어서, 상기 템플릿 이미지는 상기 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 상기 매칭하는 단계는, 패턴들에 기초하여, 상기 어레이 영역 내의 하나의 단위 셀에 대응하는 상기 출력 내의 픽셀에 상기 템플릿 이미지를 매칭하는 단계와, 상기 단위 셀 및 상기 어레이 영역에 관한 정보에 기초하여, 상기 매칭을 상기 어레이 영역 도처로 넓히는(propagating) 단계를 포함하는 것인 컴퓨터 구현 방법.
  11. 제1항에 있어서, 상기 템플릿 이미지는 상기 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 상기 매칭하는 단계는 상기 어레이 영역에서 관심 영역 내의 단위 셀의 전체 행과 열에 대해 수행되는 것인 컴퓨터 구현 방법.
  12. 제1항에 있어서, 상기 템플릿 이미지는 상기 디바이스의 어레이 영역 내의 단위 셀에 대응하고, 상기 매칭하는 단계는 상기 어레이 영역 내의 모든 단위 셀에 대해 수행되는 것인 컴퓨터 구현 방법.
  13. 제1항에 있어서, 상기 식별 단계는 상기 템플릿 이미지에 매칭하는 상기 출력 내의 픽셀 상에 영역 분할 스킴(region segmentation scheme)을 오버레이하는 단계를 포함하는 것인 컴퓨터 구현 방법.
  14. 제1항에 있어서, 상기 결함 검출 파라미터는, 상기 영역들 중 하나 이상에서 결함 검출을 수행하는지의 여부와, 상기 결함 검출이 수행되어야 하는 영역에 대해, 상기 픽셀이 위치하는 영역에 종속되는 임계치를 포함하며, 상기 임계치는 상기 출력 내의 픽셀과 참조 픽셀 간의 차이에 적용되는 것인 컴퓨터 구현 방법.
  15. 제1항에 있어서, 상기 적용하는 단계는, 참조 이미지를 생성하기 위하여 상기 디바이스의 어레이 영역 내의 복수의 단위 셀에 대응하는 상기 출력 내의 복수의 픽셀을 평균화하는 단계와, 차이 이미지를 생성하기 위하여 상기 복수의 단위 셀 중 하나에 대응하는 상기 출력 내의 테스트 이미지로부터 상기 참조 이미지를 차감하는 단계와, 상기 차이 이미지 내의 픽셀이 위치하는 영역에 기초하여, 상기 차이 이미지에 상기 결함 검출 파라미터를 적용하는 단계를 포함하는 것인 컴퓨터 구현 방법.
  16. 제1항에 있어서, 검출된 결함을, 그 결함이 위치하는 영역과 자동으로 연관시키는 단계를 더 포함하는 컴퓨터 구현 방법.
  17. 제1항에 있어서, 검출된 결함을, 그 결함이 위치하는 영역에 기초하여 분류하는 단계를 더 포함하는 컴퓨터 구현 방법.
  18. 제1항에 있어서, 상기 디바이스 내의 각각의 컨택 타입은 상기 영역들 중 상이한 것과 연관되고, 상기 방법은 검출된 결함의 밀도를 상기 각각의 컨택 타입으로 표시하는 단계를 더 포함하는 컴퓨터 구현 방법.
  19. 컴퓨터 시스템으로 하여금 웨이퍼 상의 결함을 검출하기 위한 컴퓨터 구현 방법을 수행하게 하는, 저장되어 있는 프로그램 명령어를 포함하는 비일시적(non-transitory) 컴퓨터 판독 가능한 매체에 있어서,
    상기 컴퓨터 구현 방법은,
    웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지를 생성하는 단계로서, 상기 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진, 상기 디바이스 내의 영역들과 연관되는 것인 상기 생성하는 단계와,
    상기 웨이퍼에 대해 전자빔 검사 시스템의 출력을 취득하는 단계와,
    상기 템플릿 이미지와 상기 출력 내의 패턴들에 기초하여 상기 템플릿 이미지를 상기 출력에 매칭하는 단계와,
    상기 출력 내의 픽셀에 매칭하는 상기 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여, 상기 출력 내의 픽셀이 위치하는 영역을 식별하는 단계와,
    상기 픽셀이 위치하는 영역에 기초하여 상기 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 상기 웨이퍼 상의 결함을 검출하는 상기 결함 검출 파라미터를 적용하는 단계
    를 포함하는 것인 컴퓨터 판독 가능한 매체.
  20. 웨이퍼 상의 결함을 검출하도록 구성된 시스템에 있어서,
    웨이퍼에 대한 출력을 취득하도록 구성된 전자빔 검사 서브시스템과,
    컴퓨터 서브시스템
    을 포함하고,
    상기 컴퓨터 서브시스템은,
    웨이퍼 상에 형성되는 디바이스에 관한 정보를 이용하여 템플릿 이미지를 생성하고―상기 템플릿 이미지 내의 적어도 일부 픽셀은 상이한 특성들을 가진, 상기 디바이스 내의 영역들과 연관되는 것임―,
    상기 템플릿 이미지와 상기 출력 내의 패턴들에 기초하여 상기 템플릿 이미지를 상기 출력에 매칭하고,
    상기 출력 내의 픽셀에 매칭하는 상기 템플릿 이미지의 픽셀과 연관되는 영역에 기초하여, 상기 출력 내의 픽셀이 위치하는 영역을 식별하고,
    상기 픽셀이 위치하는 영역에 기초하여 상기 출력 내의 픽셀에 결함 검출 파라미터를 적용함으로써 상기 웨이퍼 상의 결함을 검출하도록
    구성되는 것인 웨이퍼 상의 결함 검출 시스템.
KR1020157020543A 2013-01-09 2014-01-08 템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출 KR102055968B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/737,677 US9311698B2 (en) 2013-01-09 2013-01-09 Detecting defects on a wafer using template image matching
US13/737,677 2013-01-09
PCT/US2014/010743 WO2014110178A1 (en) 2013-01-09 2014-01-08 Detecting defects on a wafer using template image matching

Publications (2)

Publication Number Publication Date
KR20150103706A true KR20150103706A (ko) 2015-09-11
KR102055968B1 KR102055968B1 (ko) 2019-12-13

Family

ID=51061004

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157020543A KR102055968B1 (ko) 2013-01-09 2014-01-08 템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출

Country Status (6)

Country Link
US (1) US9311698B2 (ko)
EP (1) EP2943976A4 (ko)
JP (1) JP6230622B2 (ko)
KR (1) KR102055968B1 (ko)
TW (1) TWI588475B (ko)
WO (1) WO2014110178A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200126921A (ko) * 2019-04-29 2020-11-09 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. 스켈러톤 웨이퍼 검사 방법

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US9768082B2 (en) * 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9092846B2 (en) * 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US10373470B2 (en) * 2013-04-29 2019-08-06 Intelliview Technologies, Inc. Object detection
US9293298B2 (en) * 2013-12-23 2016-03-22 Kla-Tencor Corp. Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US9613411B2 (en) * 2014-03-17 2017-04-04 Kla-Tencor Corp. Creating defect classifiers and nuisance filters
CA2847707C (en) 2014-03-28 2021-03-30 Intelliview Technologies Inc. Leak detection
US9766187B2 (en) * 2014-08-27 2017-09-19 Kla-Tencor Corp. Repeater detection
US9727047B2 (en) 2014-10-14 2017-08-08 Kla-Tencor Corp. Defect detection using structural information
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10535131B2 (en) * 2015-11-18 2020-01-14 Kla-Tencor Corporation Systems and methods for region-adaptive defect detection
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10127651B2 (en) * 2016-01-15 2018-11-13 Kla-Tencor Corporation Defect sensitivity of semiconductor wafer inspectors using design data with wafer image data
US10062156B2 (en) 2016-02-25 2018-08-28 Kla-Tencor Corporation Method and system for detecting defects on a substrate
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
WO2018014138A1 (en) 2016-07-22 2018-01-25 Lynx Inspection Inc. Inspection method for a manufactured article and system for performing same
US20190096057A1 (en) 2017-05-11 2019-03-28 Jacob Nathaniel Allen Object inspection system and method for inspecting an object
US10957033B2 (en) * 2017-07-10 2021-03-23 Kla-Tencor Corporation Repeater defect detection
KR101982347B1 (ko) * 2018-06-11 2019-05-24 주식회사 에이치비테크놀러지 오토 리페어 시스템의 불량 검출장치 및 방법
WO2020130786A1 (en) * 2018-12-19 2020-06-25 Mimos Berhad A method of analyzing visual inspection image of a substrate for corrosion determination
CN111724335A (zh) * 2019-03-21 2020-09-29 深圳中科飞测科技有限公司 检测方法及检测系统
CN110335233B (zh) * 2019-04-24 2023-06-30 武汉理工大学 基于图像处理技术的高速公路护栏板缺陷检测系统和方法
TWI701429B (zh) * 2019-09-12 2020-08-11 力晶積成電子製造股份有限公司 缺陷分析方法與記憶體晶片
JP7418080B2 (ja) * 2019-10-04 2024-01-19 キヤノン株式会社 位置検出装置、位置検出方法、リソグラフィ装置、及び物品の製造方法
KR20220001125A (ko) 2020-06-29 2022-01-05 삼성전자주식회사 기판 불량 검출 방법 및 장치
CN113160145B (zh) * 2021-03-29 2024-04-02 深圳中科飞测科技股份有限公司 检测方法、检测装置、检测设备及计算机可读存储介质
KR20240035886A (ko) * 2021-08-27 2024-03-18 주식회사 히타치하이테크 컴퓨터 시스템 및 해석 방법
FR3127318B1 (fr) 2021-09-23 2023-11-17 Commissariat Energie Atomique Procédé de caractérisation d’un réseau à analyser comportant des motifs périodiques
FR3127319B1 (fr) 2021-09-23 2023-09-29 Commissariat Energie Atomique Procédé de classification de défauts d’un réseau à analyser
CN114842011B (zh) * 2022-07-04 2022-09-09 启东万惠机械制造有限公司 基于图像处理的轴承磨损检测方法及系统
US20240068967A1 (en) * 2022-08-25 2024-02-29 Kla Corporation Noise diagnostics for an electron beam inspection system with swathing
CN115575411A (zh) * 2022-09-28 2023-01-06 东方晶源微电子科技(北京)有限公司 晶片的缺陷检测方法、装置、设备及计算机可读存储介质
CN116309574B (zh) * 2023-05-19 2023-08-18 成都数之联科技股份有限公司 一种面板漏制程缺陷检测方法、系统、设备及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US20070133860A1 (en) * 2005-12-14 2007-06-14 Lin Jason Z Methods and systems for binning defects detected on a specimen
US20080016481A1 (en) * 2006-06-23 2008-01-17 Hitachi High-Technologies Corp. System and method for detecting a defect
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof

Family Cites Families (416)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4475122A (en) 1981-11-09 1984-10-02 Tre Semiconductor Equipment Corporation Automatic wafer alignment technique
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
JPH0648380B2 (ja) 1985-06-13 1994-06-22 株式会社東芝 マスク検査方法
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5124927A (en) 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JP3707172B2 (ja) 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (de) 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
DE69333348T2 (de) 1992-03-09 2004-09-16 San Diego Regional Cancer Center, San Diego Anti-idiotypischer Antikörper und seine Verwendung zur Diagnose und Therapie bei HIV-bezogenen Krankheiten
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
JPH07159337A (ja) 1993-12-07 1995-06-23 Sony Corp 半導体素子の欠陥検査方法
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US20020054291A1 (en) 1997-06-27 2002-05-09 Tsai Bin-Ming Benjamin Inspection system simultaneously utilizing monochromatic darkfield and broadband brightfield illumination sources
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
WO1997013370A1 (en) 1995-10-02 1997-04-10 Kla Instruments Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5917332A (en) 1996-05-09 1999-06-29 Advanced Micro Devices, Inc. Arrangement for improving defect scanner sensitivity and scanning defects on die of a semiconductor wafer
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
JP3634505B2 (ja) 1996-05-29 2005-03-30 株式会社ルネサステクノロジ アライメントマーク配置方法
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
IL118804A0 (en) * 1996-07-05 1996-10-31 Orbot Instr Ltd Data converter apparatus and method particularly useful for a database-to-object inspection system
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5978501A (en) 1997-01-03 1999-11-02 International Business Machines Corporation Adaptive inspection method and system
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
JP2984633B2 (ja) 1997-08-29 1999-11-29 日本電気株式会社 参照画像作成方法およびパターン検査装置
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
EP1025511A4 (en) 1997-10-27 2002-09-11 Kla Tencor Corp SOFTWARE SYSTEM AND METHOD FOR GRAPHIC CREATION OF PERSONALIZED RECIPE ORGANIZATION CHART
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6110011A (en) 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
JPH11162832A (ja) 1997-11-25 1999-06-18 Nikon Corp 走査露光方法及び走査型露光装置
US5999003A (en) 1997-12-12 1999-12-07 Advanced Micro Devices, Inc. Intelligent usage of first pass defect data for improved statistical accuracy of wafer level classification
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6295374B1 (en) 1998-04-06 2001-09-25 Integral Vision, Inc. Method and system for detecting a flaw in a sample image
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6987873B1 (en) 1998-07-08 2006-01-17 Applied Materials, Inc. Automatic defect classification with invariant core classes
JP2000089148A (ja) 1998-07-13 2000-03-31 Canon Inc 光走査装置及びそれを用いた画像形成装置
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6040912A (en) 1998-09-30 2000-03-21 Advanced Micro Devices, Inc. Method and apparatus for detecting process sensitivity to integrated circuit layout using wafer to wafer defect inspection device
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
US6393602B1 (en) 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US6336082B1 (en) 1999-03-05 2002-01-01 General Electric Company Method for automatic screening of abnormalities
US6252981B1 (en) 1999-03-17 2001-06-26 Semiconductor Technologies & Instruments, Inc. System and method for selection of a reference die
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
AU3676500A (en) 1999-05-07 2000-11-21 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (ko) 1999-05-18 2002-02-08 조셉 제이. 스위니 마스트와 비교함으로써 물체의 검사를 수행하는 방법 및장치
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP3816390B2 (ja) 1999-07-02 2006-08-30 富士通株式会社 サービス割り当て装置
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6754305B1 (en) 1999-08-02 2004-06-22 Therma-Wave, Inc. Measurement of thin films and barrier layers on patterned wafers with X-ray reflectometry
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
KR100335491B1 (ko) 1999-10-13 2002-05-04 윤종용 공정 파라미터 라이브러리를 내장한 웨이퍼 검사장비 및 웨이퍼 검사시의 공정 파라미터 설정방법
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
WO2001041068A1 (fr) 1999-11-29 2001-06-07 Olympus Optical Co., Ltd. Systeme de detection de defaut
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6999614B1 (en) 1999-11-29 2006-02-14 Kla-Tencor Corporation Power assisted automatic supervised classifier creation tool for semiconductor defects
US6738954B1 (en) 1999-12-08 2004-05-18 International Business Machines Corporation Method for prediction random defect yields of integrated circuits with accuracy and computation time controls
US6553329B2 (en) 1999-12-13 2003-04-22 Texas Instruments Incorporated System for mapping logical functional test data of logical integrated circuits to physical representation using pruned diagnostic list
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6701004B1 (en) 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP4419250B2 (ja) 2000-02-15 2010-02-24 株式会社ニコン 欠陥検査装置
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
JP5108193B2 (ja) * 2000-04-18 2012-12-26 ケーエルエー−テンカー コーポレイション 改良された試験構造の検査方法
WO2001086698A2 (en) 2000-05-10 2001-11-15 Kla-Tencor, Inc. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
EP1296351A4 (en) 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
JP2002032737A (ja) 2000-07-14 2002-01-31 Seiko Instruments Inc 半導体装置のパターン観察のためのナビゲーション方法及び装置
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
TW513772B (en) 2000-09-05 2002-12-11 Komatsu Denshi Kinzoku Kk Apparatus for inspecting wafer surface, method for inspecting wafer surface, apparatus for judging defective wafer, method for judging defective wafer and information treatment apparatus of wafer surface
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6919957B2 (en) 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6724489B2 (en) 2000-09-22 2004-04-20 Daniel Freifeld Three dimensional scanning camera
KR20020063582A (ko) 2000-10-02 2002-08-03 어플라이드 머티어리얼스, 인코포레이티드 결함 소오스 탐지기
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20020145734A1 (en) 2001-02-09 2002-10-10 Cory Watkins Confocal 3D inspection system and process
JP3998577B2 (ja) 2001-03-12 2007-10-31 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 特徴付けビヒクル及びその設計方法、欠陥を識別する方法並びに欠陥サイズ分布を求める方法
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6605478B2 (en) 2001-03-30 2003-08-12 Appleid Materials, Inc, Kill index analysis for automatic defect classification in semiconductor wafers
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US7127099B2 (en) 2001-05-11 2006-10-24 Orbotech Ltd. Image searching defect detector
JP2002353099A (ja) 2001-05-22 2002-12-06 Canon Inc 位置検出方法及び装置及び露光装置及びデバイス製造方法
US20030004699A1 (en) 2001-06-04 2003-01-02 Choi Charles Y. Method and apparatus for evaluating an integrated circuit model
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
JP3551163B2 (ja) 2001-06-08 2004-08-04 三菱住友シリコン株式会社 欠陥検査方法及び欠陥検査装置
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP2003031477A (ja) 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
US7155698B1 (en) 2001-09-11 2006-12-26 The Regents Of The University Of California Method of locating areas in an image such as a photo mask layout that are sensitive to residual processing effects
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
EP1515567B1 (en) 2001-09-12 2006-08-23 Matsushita Electric Industrial Co., Ltd. Image coding method and image decoding method
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP4035974B2 (ja) * 2001-09-26 2008-01-23 株式会社日立製作所 欠陥観察方法及びその装置
JP3955450B2 (ja) 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
US7175940B2 (en) 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US7065239B2 (en) 2001-10-24 2006-06-20 Applied Materials, Inc. Automated repetitive array microstructure defect inspection
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
JP2003151483A (ja) 2001-11-19 2003-05-23 Hitachi Ltd 荷電粒子線を用いた回路パターン用基板検査装置および基板検査方法
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
KR100689694B1 (ko) 2001-12-27 2007-03-08 삼성전자주식회사 웨이퍼상에 발생된 결함을 검출하는 방법 및 장치
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP2003215060A (ja) 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd パターン検査方法及び検査装置
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US7693323B2 (en) 2002-03-12 2010-04-06 Applied Materials, Inc. Multi-detector defect detection system and a method for detecting defects
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
JP3826849B2 (ja) 2002-06-07 2006-09-27 株式会社Sumco 欠陥検査方法および欠陥検査装置
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
JP2004031709A (ja) 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US7249342B2 (en) 2002-07-12 2007-07-24 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
WO2004008244A2 (en) 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US20040049722A1 (en) 2002-09-09 2004-03-11 Kabushiki Kaisha Toshiba Failure analysis system, failure analysis method, a computer program product and a manufacturing method for a semiconductor device
AU2003273324A1 (en) 2002-09-12 2004-04-30 Nline Corporation System and method for acquiring and processing complex images
US7043071B2 (en) 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
KR100474571B1 (ko) 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
JP4310090B2 (ja) * 2002-09-27 2009-08-05 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
US6831736B2 (en) 2002-10-07 2004-12-14 Applied Materials Israel, Ltd. Method of and apparatus for line alignment to compensate for static and dynamic inaccuracies in scanning
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
JP4302965B2 (ja) 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7103505B2 (en) 2002-11-12 2006-09-05 Fei Company Defect analyzer
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US7525659B2 (en) 2003-01-15 2009-04-28 Negevtech Ltd. System for detection of water defects
US6990385B1 (en) 2003-02-03 2006-01-24 Kla-Tencor Technologies Corporation Defect detection using multiple sensors and parallel processing
CN100481360C (zh) 2003-02-03 2009-04-22 三菱住友硅晶株式会社 检查方法、解析片的制作方法、解析方法以及解析装置
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7756320B2 (en) 2003-03-12 2010-07-13 Hitachi High-Technologies Corporation Defect classification using a logical equation for high stage classification
JP3699960B2 (ja) 2003-03-14 2005-09-28 株式会社東芝 検査レシピ作成システム、欠陥レビューシステム、検査レシピ作成方法及び欠陥レビュー方法
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6925614B2 (en) 2003-04-01 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for protecting and integrating silicon intellectual property (IP) in an integrated circuit (IC)
US6952653B2 (en) 2003-04-29 2005-10-04 Kla-Tencor Technologies Corporation Single tool defect classification solution
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US7739064B1 (en) 2003-05-09 2010-06-15 Kla-Tencor Corporation Inline clustered defect reduction
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US7068363B2 (en) 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7346470B2 (en) 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6947588B2 (en) 2003-07-14 2005-09-20 August Technology Corp. Edge normal process
US7968859B2 (en) 2003-07-28 2011-06-28 Lsi Corporation Wafer edge defect inspection using captured image analysis
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7271891B1 (en) 2003-08-29 2007-09-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing selective defect sensitivity
US7433535B2 (en) 2003-09-30 2008-10-07 Hewlett-Packard Development Company, L.P. Enhancing text-like edges in digital images
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7114143B2 (en) 2003-10-29 2006-09-26 Lsi Logic Corporation Process yield learning
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005158780A (ja) 2003-11-20 2005-06-16 Hitachi Ltd パターン欠陥検査方法及びその装置
JP2005183907A (ja) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd パターン解析方法及びパターン解析装置
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
JP4426871B2 (ja) 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Fib/sem複合装置の画像ノイズ除去
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP2005283326A (ja) 2004-03-30 2005-10-13 Hitachi High-Technologies Corp 欠陥レビュー方法及びその装置
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
JP4347751B2 (ja) 2004-06-07 2009-10-21 株式会社アドバンテスト 不良解析システム及び不良箇所表示方法
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
JP4758427B2 (ja) 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション シミュレーション・プログラムのための入力生成、あるいは、レチクルのシミュレート画像生成のためのコンピュータに実装された方法
US7678516B2 (en) 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
ATE512425T1 (de) 2004-08-09 2011-06-15 Bracco Suisse Sa Verfahren und anordnung zur bildregistrierung in der medizinischen bildgebung basierend auf mehreren masken
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
TW200622275A (en) 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
US7783113B2 (en) * 2004-10-08 2010-08-24 Drvision Technologies Llc Partition pattern match and integration method for alignment
KR20070104331A (ko) 2004-10-12 2007-10-25 케이엘에이-텐코 테크놀로지스 코퍼레이션 표본 상의 결함들을 분류하기 위한 컴퓨터-구현 방법 및시스템
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
KR20060075691A (ko) 2004-12-29 2006-07-04 삼성전자주식회사 결함 검사 방법
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
JP4895569B2 (ja) 2005-01-26 2012-03-14 株式会社日立ハイテクノロジーズ 帯電制御装置及び帯電制御装置を備えた計測装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
KR100687090B1 (ko) 2005-05-31 2007-02-26 삼성전자주식회사 결함 분류 방법
US7444615B2 (en) 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US8219940B2 (en) 2005-07-06 2012-07-10 Semiconductor Insights Inc. Method and apparatus for removing dummy features from a data structure
KR100663365B1 (ko) 2005-07-18 2007-01-02 삼성전자주식회사 내부에 적어도 한 쌍의 빔 경로들을 갖는 렌즈 유니트를구비하는 광학적 검사장비들 및 이를 사용하여 기판의 표면결함들을 검출하는 방법들
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (ko) 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
US8089058B2 (en) 2005-09-01 2012-01-03 Camtek Ltd. Method for establishing a wafer testing recipe
JP4203498B2 (ja) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン欠陥検査方法
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
KR100696276B1 (ko) 2006-01-31 2007-03-19 (주)미래로시스템 웨이퍼 결함 검사 장비들로부터 획득된 측정 데이터들을이용한 자동 결함 분류 시스템
US7801353B2 (en) 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
KR101324419B1 (ko) 2006-02-09 2013-11-01 케이엘에이-텐코 코포레이션 웨이퍼의 특성을 결정하기 위한 방법 및 시스템
JP4728144B2 (ja) 2006-02-28 2011-07-20 株式会社日立ハイテクノロジーズ 回路パターンの検査装置
WO2007137261A2 (en) 2006-05-22 2007-11-29 Kla-Tencor Technologies Corporation Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
US8102408B2 (en) 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US7664608B2 (en) 2006-07-14 2010-02-16 Hitachi High-Technologies Corporation Defect inspection method and apparatus
JP2008041940A (ja) 2006-08-07 2008-02-21 Hitachi High-Technologies Corp Sem式レビュー装置並びにsem式レビュー装置を用いた欠陥のレビュー方法及び欠陥検査方法
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
JP5427609B2 (ja) 2006-12-19 2014-02-26 ケーエルエー−テンカー・コーポレーション 検査レシピ作成システムおよびその方法
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8073240B2 (en) 2007-05-07 2011-12-06 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for identifying one or more optical modes of an inspection system as candidates for use in inspection of a layer of a wafer
US8799831B2 (en) 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US7962864B2 (en) 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
KR100877105B1 (ko) 2007-06-27 2009-01-07 주식회사 하이닉스반도체 반도체소자의 패턴 검증 방법
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
TWI469235B (zh) 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US8155428B2 (en) * 2007-09-07 2012-04-10 Kla-Tencor Corporation Memory cell and page break inspection
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
JP5022191B2 (ja) 2007-11-16 2012-09-12 株式会社日立ハイテクノロジーズ 欠陥検査方法及び欠陥検査装置
US7890917B1 (en) 2008-01-14 2011-02-15 Xilinx, Inc. Method and apparatus for providing secure intellectual property cores for a programmable logic device
US7774153B1 (en) 2008-03-17 2010-08-10 Kla-Tencor Corp. Computer-implemented methods, carrier media, and systems for stabilizing output acquired by an inspection system
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8049877B2 (en) 2008-05-14 2011-11-01 Kla-Tencor Corp. Computer-implemented methods, carrier media, and systems for selecting polarization settings for an inspection system
US8000922B2 (en) 2008-05-29 2011-08-16 Kla-Tencor Corp. Methods and systems for generating information to be used for selecting values for one or more parameters of a detection algorithm
US7973921B2 (en) 2008-06-25 2011-07-05 Applied Materials South East Asia Pte Ltd. Dynamic illumination in optical inspection systems
KR20100061018A (ko) 2008-11-28 2010-06-07 삼성전자주식회사 다수 전자빔 조건의 멀티 스캔을 연산하여 새로운 패턴 이미지를 창출하는 반도체 소자의 디펙트 검사 장치 및 방법
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US9262303B2 (en) 2008-12-05 2016-02-16 Altera Corporation Automated semiconductor design flaw detection system
US8094924B2 (en) 2008-12-15 2012-01-10 Hermes-Microvision, Inc. E-beam defect review system
US8223327B2 (en) 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
JP5641463B2 (ja) * 2009-01-27 2014-12-17 株式会社日立ハイテクノロジーズ 欠陥検査装置及びその方法
EP2396815A4 (en) 2009-02-13 2012-11-28 Kla Tencor Corp DETECTION OF DEFECTS ON A WAFER
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP2010256242A (ja) 2009-04-27 2010-11-11 Hitachi High-Technologies Corp 欠陥検査装置及び欠陥検査方法
US8295580B2 (en) 2009-09-02 2012-10-23 Hermes Microvision Inc. Substrate and die defect inspection method
JP5357725B2 (ja) * 2009-12-03 2013-12-04 株式会社日立ハイテクノロジーズ 欠陥検査方法及び欠陥検査装置
US8437967B2 (en) 2010-01-27 2013-05-07 International Business Machines Corporation Method and system for inspecting multi-layer reticles
KR20120068128A (ko) 2010-12-17 2012-06-27 삼성전자주식회사 패턴의 결함 검출 방법 및 이를 수행하기 위한 결함 검출 장치
JP5715873B2 (ja) 2011-04-20 2015-05-13 株式会社日立ハイテクノロジーズ 欠陥分類方法及び欠陥分類システム
US9201022B2 (en) 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US9069923B2 (en) 2011-06-16 2015-06-30 Globalfoundries Singapore Pte. Ltd. IP protection
US20130009989A1 (en) 2011-07-07 2013-01-10 Li-Hui Chen Methods and systems for image segmentation and related applications
US8611598B2 (en) 2011-07-26 2013-12-17 Harman International (China) Holdings Co., Ltd. Vehicle obstacle detection system
US8977035B2 (en) * 2012-06-13 2015-03-10 Applied Materials Israel, Ltd. System, method and computer program product for detection of defects within inspection images

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US20070133860A1 (en) * 2005-12-14 2007-06-14 Lin Jason Z Methods and systems for binning defects detected on a specimen
US20080016481A1 (en) * 2006-06-23 2008-01-17 Hitachi High-Technologies Corp. System and method for detecting a defect
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200126921A (ko) * 2019-04-29 2020-11-09 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. 스켈러톤 웨이퍼 검사 방법

Also Published As

Publication number Publication date
TWI588475B (zh) 2017-06-21
US20140193065A1 (en) 2014-07-10
EP2943976A1 (en) 2015-11-18
JP6230622B2 (ja) 2017-11-15
WO2014110178A1 (en) 2014-07-17
JP2016508295A (ja) 2016-03-17
EP2943976A4 (en) 2016-09-07
KR102055968B1 (ko) 2019-12-13
US9311698B2 (en) 2016-04-12
TW201447287A (zh) 2014-12-16

Similar Documents

Publication Publication Date Title
KR102055968B1 (ko) 템플릿 이미지 매칭을 이용한 웨이퍼 상의 결함 검출
US10014229B2 (en) Generating a wafer inspection process using bit failures and virtual inspection
US9721337B2 (en) Detecting defects on a wafer using defect-specific information
KR102388365B1 (ko) 표본에 대한 결함 검출 방법 및 그 시스템
KR102079022B1 (ko) 검사 레시피를 생성하는 방법 및 그 시스템
JP5543872B2 (ja) パターン検査方法およびパターン検査装置
JP5225297B2 (ja) ウエハー上に形成されたダイに於けるアレイ領域の認識方法、ならびに係る方法の設定方法
KR102110634B1 (ko) 자유형의 주의 영역들을 사용한 웨이퍼 검사
KR102276921B1 (ko) 구조적 정보를 사용한 결함 검출
US7764826B2 (en) Method and apparatus of reviewing defects on a semiconductor device
KR101764658B1 (ko) 결함 해석 지원 장치, 결함 해석 지원 장치에 의해 실행되는 프로그램 및 결함 해석 시스템
US20070177787A1 (en) Fault inspection method
KR20170005379A (ko) 검사를 위한 고해상도 전체 다이 이미지 데이터의 사용
TWI533383B (zh) 使用重覆結構之基於設計之檢測
JP2010520622A (ja) ウェーハ上に形成されたアレイ領域のための検査領域のエッジを正確に識別する方法、及び、ウェーハ上に形成されたアレイ領域に検知された欠陥をビニングする方法
EP3968267A1 (en) Method for denoising an electron microscope image
KR102561093B1 (ko) 반도체 장치의 결함 검출을 위한 필터 추출 장치 및 방법과, 이를 이용한 결함 검출 시스템
KR102637335B1 (ko) 반도체 소자의 결함 검사를 위한 미세 케어 영역의 분할 방법
CN118115421A (zh) 用于确定存储器装置中的接触通孔的坐标的方法和装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant