KR20130014554A - 로우 k 유전체의 집적 방법 - Google Patents

로우 k 유전체의 집적 방법 Download PDF

Info

Publication number
KR20130014554A
KR20130014554A KR1020127027107A KR20127027107A KR20130014554A KR 20130014554 A KR20130014554 A KR 20130014554A KR 1020127027107 A KR1020127027107 A KR 1020127027107A KR 20127027107 A KR20127027107 A KR 20127027107A KR 20130014554 A KR20130014554 A KR 20130014554A
Authority
KR
South Korea
Prior art keywords
substrate
radiation
dielectric film
process module
reflector
Prior art date
Application number
KR1020127027107A
Other languages
English (en)
Inventor
준준 리우
도렐 아이 토마
홍유 유에
자크 파게
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130014554A publication Critical patent/KR20130014554A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/145Infrared

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상의 유전체막을 처리하기 위한 방법, 구체적으로 로우 k 유전체막을, 후속하여 형성된 금속 상호접속부와 집적하는 방법을 개시한다. 이 방법은 기판 상에 유전체막을 준비하는 단계로서, 상기 유전체막은 유전율 값이 약 4 이하인 로우 k 유전체막이다. 그 후, 이 방법은 유전체막에 예비 경화 공정을 수행하는 단계와, 리소그래피 공정과 에칭 공정을 이용하여 유전체막에 패턴을 형성하는 단계와, 기판으로부터 원하지 않는 잔여물을 제거하는 단계와, 유전체막에 최종 경화 공정을 수행하는 단계를 더 포함하고, 상기 최종 경화 공정은 기판에 자외(UV) 방사선을 조사하는 단계를 포함한다.

Description

로우 k 유전체의 집적 방법{METHOD FOR INTEGRATING LOW-K DIELECTRICS}
<관련 출원과의 상호 참조>
37 CFR §1.78(a)(4)에 의거하여, 본 출원은 2010년 3월 29일에 출원된 미국 가출원 일련 번호 61/318,719에 대해 우선권을 주장하며, 이것의 전체 내용은 참조에 의해 본 명세서에 원용된다.
본 발명은 저 유전율(로우 k)의 유전체막을 준비하여, 그 로우 k 유전체막을 패터닝하며, 로우 k 유전체막을 후속해서 형성된 금속 상호접속부와 집적하기 위한 방법에 관한 것이다.
반도체 분야의 종사자들에게 알려져 있다시피, 집적 회로(IC)의 속도 및 성능을 향상시키려는 추세에 있어서 주요 제한 요소가 상호접속 지연이다. 상호접속 지연을 최소화하는 한가지 방법은 IC 디바이스의 금속 배선용 절연성 유전체로서 저 유전율(로우 k) 재료를 이용하여 상호접속 정전용량을 줄이는 것이다. 이에, 최근에는, 이산화규소 등의 비교적 고 유전율의 절연성 재료를 대체하기 위해 로우 k 재료가 개발되고 있다. 구체적으로, 로우 k막은 반도체 디바이스의 금속 배선들 사이에서 상호 레벨 및 레벨 내부의 유전체층에 이용되고 있다. 또한, 절연성 재료의 유전율을 더욱 감소시키기 위해, 재료막을 기공을 갖도록, 즉 다공성 로우 k 유전체막으로 형성한다. 그러한 로우 k막은 포토레지스트 도포와 유사한 스핀 온 유전체(SOD: Spin-On Dielectric) 방법에 의해 또는 화학적 기상 증착(CVD: Chemical Vapor Deposition)에 의해 성막될 수 있다. 그러므로, 기존의 반도체 제조 공정에 로우 k 재료의 이용을 용이하게 채택할 수 있다.
로우 k 재료는 보다 전통적인 이산화규소에 비해 덜 강고하며 기계적 강도는 다공성의 도입으로 더욱 열화된다. 플라즈마 공정 동안 다공성 로우 k막이 쉽게 손상될 수 있기 때문에, 기계적 강도 강화 공정을 수행하는 것이 바람직하다. 성공적인 집적을 위해서 다공성 로우 k 유전체의 재료 강도의 강화가 필수적임이 이해되고 있다. 기계적 강도 강화를 목적으로 하여, 보다 강고하고 집적에 적합한 다공성 로우 k막을 제조하기 위해서 대안적 경화 기술이 강구되고 있다.
폴리머의 경화는 예컨대 스핀온 또는 기상 증착[화학적 기상 증착(CVD) 등] 기술을 이용해서 성막된 박막을, 그 막 내에 가교 결합(cross-linking)을 야기하기 위해 처리하는 공정을 포함한다. 경화 공정 시에, 자유 라디칼 중합은 가교 결합의 1차 루트로서 이해되고 있다. 폴리머가 가교 결합을 묶기 때문에, 영률(Young's modulus), 막 경도, 파괴 인성 및 계면 어드히젼 등의 기계적 특성이 향상되어, 로우 k막의 제조 로버스트성(robustness)이 향상된다.
울트라 로우 유전율을 갖는 다공성 유전체막을 형성하기 위한 다양한 전략이 있기 때문에, 성막후 처리(경화)의 목표는, 예컨대 수분 제거, 용제 제거, 다공성 유전체막에 기공을 형성하는데 이용된 포로겐(porogen)의 버닝아웃, 상기 막의 기계적 특성 향상 등을 비롯하여 막마다 다를 수 있다.
통상적으로, 저 유전율(로우 k) 재료는 CVD막의 경우 300℃~400 ℃ 범위의 온도에서 열경화된다. 일부 경우에는, 유전율이 약 2.5보다 높은 강하고 밀도 높은 로우 k막을 생성하는 데 있어서 노(furnace) 경화로도 충분하였다. 그러나, 다공도가 높은 다공성 유전체막(울트라 로우 k막)을 처리할 때에, 열처리(또는 열경화)로 실현할 수 있는 가교 결합의 정도는 강고한 상호접속 구조물에 적당한 강도의 막을 생성하기에 더 이상 충분하지 않다.
열경화 시에, 유전체막에 손상을 주는 일 없이 적절한 양의 에너지가 유전체막에 전달된다. 그러나, 관심 있는 온도 범위 내에서는 소량의 자유 라디칼만이 생성될 수 있다. 기판에의 열 공급에 있어서의 열에너지 손실 및 주변 환경에서의 열 손실로 인해 실제로 소량의 열에너지만이 경화될 로우 k막에 흡수될 수 있다. 따라서, 통상의 로우 k 노 경화에는 고온 및 긴 경화 시간이 필요하다. 높은 열수지(thermal budget)로도, 열경화에서 개시제 생성이 부족하고 성막된 그 상태의 로우 k막에 대량의 메틸 말단이 존재하여, 원하는 정도의 가교 결합을 실현하기가 매우 어려울 수 있다.
본 발명은 기판 상의 유전체막을 처리하기 위한 방법 및 시스템에 관한 것이며, 구체적으로는 로우 k 유전체막을, 후속하여 형성된 금속 상호접속부와 집적하기 위한 방법에 관한 것이다. 본 발명은 또한 로우 k 유전체막을 세정하기 위한 방법에도 관한 것이다.
실시형태에 따르면, 기판 상에 유전체막을 집적하는 방법이 기재된다. 이 방법은, 기판 상에 유전체막을 준비하는 단계를 포함하고, 이 유전체막은 유전율 값이 4 이하인 로우 k 유전체막이다. 상기 방법은 유전체막에 예비 경화 공정을 수행하는 단계와, 리소그래피 공정 및 에칭 공정을 이용하여 유전체막에 패턴을 형성하는 단계와, 기판으로부터 원하지 않는 잔여물을 제거하는 단계와, 유전체막에 최종 경화 공정을 수행하는 단계를 포함하고, 상기 최종 경화 공정은 기판에 자외(UV) 방사선을 조사하는 단계를 포함한다.
다른 실시형태에 따르면, 기판 상의 유전체막을 세정하는 방법이 기재된다. 이 방법은 하나 이상의 층 또는 구조물을 포함하는 기판 상의 영역에 적외(IR) 방사선 및 선택적인 자외(UV) 방사선을 조사하여, 하나 이상의 층 또는 구조물로부터 물질 또는 원하지 않는 잔여물을 제거하는 단계를 포함한다. 상기 방법은 기판을 향한 방향으로 제트축을 따라 가스 노즐로부터 분출되는 가스 또는 증기류에 상기 영역의 적어도 일부를 노출시키는 단계를 선택적으로 포함할 수 있다.
다른 실시형태에 따르면, 기판을 처리하기 위한 공정 모듈이 기재된다. 이 공정 모듈은 공정 챔버와, 공정 챔버에 연결되며 기판을 지지하도록 구성되는 기판 홀더를 포함한다. 또한, 공정 모듈은 공정 챔버에 연결되며 기판을 전자기(EM) 방사선에 노출시키도록 구성되는 방사선 소스를 포함하며, 이 방사선 소스는 기판 상에 빔스폿을 형성하는 적외(IR) 방사선의 빔을 생성하도록 배열되는 IR 소스를 포함한다. 또한, 공정 모듈은 공정 챔버에 연결되는 가스 노즐을 구비한 가스 주입 시스템을 포함하며, 이 가스 노즐은 기판을 향한 방향으로 제트축을 따라 그 가스 노즐로부터 분출되며 빔스폿과 교차하는 가스 또는 증기 제트를 생성하도록 구성된 다.
다른 실시형태에 따르면, 기판을 처리하기 위한 공정 모듈이 기재된다. 공정 모듈은 공정 챔버와, 공정 챔버에 연결되며 기판을 지지하도록 구성되는 기판 홀더와, 공정 챔버에 연결되며 유전체막을 전자기(EM) 방사선에 노출시키도록 구성되는 방사선 소스를 포함한다. 방사선 소스는 UV 소스를 포함하고, UV 소스는 UV 램프와, 반사된 UV 방사선을 그 UV 램프로부터 기판으로 지향시키기 위한 리플렉터를 구비한다. 리플렉터는 다이크로익 리플렉터와, UV 램프와 기판 사이에 배치되며, UV 램프로부터의 UV 방사선을 다이크로익 리플렉터를 향해 반사시키도록 구성되는 비흡광 리플렉터를 구비하고, 이 비흡광 리플렉터는 실질적으로 기판에 대한 UV 램프로부터의 직접적인 UV 방사선을 막는다.
첨부 도면에 있어서,
도 1은 실시형태에 따라 기판 상에 유전체막을 집적하는 방법을 설명하기 위한 도면이다
도 2는 다른 실시형태에 따라 기판 상에 유전체막을 집적하는 방법을 설명하기 위한 도면이다.
도 3은 실시형태에 따라 기판을 세정하는 방법을 설명하기 위한 도면이다.
도 4a와 도 4b는 추가 실시형태에 따라 기판을 세정하기 위한 방법 및 시스템을 나타내는 개략도이다.
도 5a 내지 도 5d는 추가 실시형태에 따라 기판을 세정하는 방법을 설명하기 위한 도면이다.
도 6은 실시형태에 따른 처리 시스템에 대한 예시적인 반송 시스템의 측면 개략도이다.
도 7은 도 6에 도시한 반송 시스템의 평면 개략도이다.
도 8은 다른 실시형태에 따른 처리 시스템에 대한 다른 예시적인 반송 시스템의 측면 개략도이다.
도 9는 다른 실시형태에 따른 처리 시스템에 대한 또 다른 예시적인 반송 시스템의 평면 개략도이다.
도 10은 다른 실시형태에 따른 공정 모듈의 개략 단면도이다.
도 11은 다른 실시형태에 따른 공정 모듈의 개략 단면도이다.
도 12는 다른 실시형태에 따른 공정 모듈의 개략 단면도이다.
도 13은 다른 실시형태에 따른 공정 모듈의 개략 단면도이다.
이하, 다양한 실시형태로 전자기(EM) 방사선을 이용하여, 기판 상의 로우 k 유전체막을 비롯한 유전체층을 집적, 패터닝, 처리, 경화 및 세정하기 위한 방법을 기재한다. 관련 분야의 종사자들에게는 하나 이상의 특정 세부사항 없이 또는 기타 대체 및/또는 추가 방법, 재료, 또는 구성요소로 다양한 실시형태를 실시할 수 있는 것을 인식할 것이다. 다른 경우에 있어서, 잘 알려진 구조물, 재료, 또는 동작은 본 발명의 다양한 실시형태의 불분명한 양태를 피하기 위해 자세하게 도시 또는 기재하지 않는다. 마찬가지로, 설명의 목적상, 본 발명의 명확한 이해를 돕기 위해 특정 숫자, 재료 및 구성을 설명한다. 그러나, 본 발명은 특정 세부사항 없이도 실시될 수 있다. 또한, 도면에 나타낸 다양한 실시형태들은 예시적인 도시일 뿐이며 반드시 실측으로 그려지지 않은 것은 물론이다.
본 명세서에 걸쳐 "일 실시형태" 또는 "실시형태"에 대한 언급은, 그 실시형태에 관련해서 기재된 특정한 특색, 구조, 재료 또는 특징이 본 발명의 적어도 하나의 실시형태에 포함되는 것을 의미하지만, 그것들이 모든 실시형태에 존재한다는 것은 뜻하지는 않는다. 그러므로, 본 명세서에 걸쳐 다양한 위치에서의 "일 실시형태에 있어서" 또는 "실시형태에 있어서"라는 표현의 등장은 반드시 본 발명의 같은 실시형태에 대한 언급은 아니다. 또한, 특정한 특색, 구조, 재료 또는 특징은 하나 이상의 실시형태에서 임의의 적절한 방법으로 조합될 수도 있다. 각종 추가 층 및/또는 구조물이 포함될 수도 및/또는 기재된 특색이 다른 실시형태에서는 생략될 수도 있다.
본 명세서에 사용되는 "기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭하는 것이다. 기판은 디바이스, 구체적으로 반도체 또는 다른 전자 디바이스의 임의의 재료 부분 또는 구조물을 포함할 수 있고, 예컨대 반도체 웨이퍼 등의 베이스 기판 구조물일 수도 또는 베이스 기판 구조물에 접해 있거나 그 위에 있는 박막 등의 층일 수도 있다. 그러므로, 기판은 패터닝되거나 패터닝되지 않은 하부층 또는 상부층의 임의의 특정 베이스 구조물에 한정되는 것이 아니며 그보다는 임의의 상기 층 또는 베이스 구조물과, 임의의 층 및/또는 베이스 구조물의 조합을 포함하는 것이다. 이하의 기재에서는 특정 타입의 기판을 언급하지만, 이것은 단지 예시적인 목적일 뿐 한정하기 위한 것이 아니다.
본 발명자들은 기판을 처리하기 위한 방법, 구체적으로 로우 k 유전체 막을 구비한 기판을 처리하기 위한 대안적 방법이, 플라즈마 애싱 및 습식 세정 등의 종래의 세정 방법과 함께, 열경화 등의 종래의 경화 방법의 일부 과제를 해결한다고 인식하였다. 예를 들어, 상기 막을 경화 및 세정하기 위한 대안적 방법은 대응하는 종래의 방법과 비교해서, 에너지 전달면에서 보다 효율적이며, 가속 전자, 이온 또는 뉴트럴 등의 에너지 입자의 형태, 또는 에너지 광자의 형태에서 발견되는 높은 에너지 레벨이 로우 k 유전체막에서 전자를 용이하게 여기시킬 수 있기 때문에, 화학적 결합의 붕괴 및 사이드 그룹의 해리를 용이하게 야기할 수 있다. 이들 대안적 방법은 가교 결합 개시제(자유 라디칼)의 생성을 용이하게 할 수 있고, 실제 가교 결합에 필요한 에너지 전달을 개선할 수 있다. 그 결과, 감소한 열수지로 가교 결합의 정도를 상승시킬 수 있다.
또한, 본 발명자들은 로우 k 및 울트라 로우 k(ULK) 유전체막(유전율이 약 2.5 미만)의 집적에 있어서 막 강도가 더 중요해질 때에, 상기 막을 경화 및 세정하기 위한 대안적 방법이 그 막의 기계적 특성을 향상시킬 수 있다는 것을 인식하였다. 유전체 특성 및 막 소수성(hydrophobicity)의 희생 없이도 기계적 강도를 향상시키기 위해, 로우 k막 및 ULK막을 경화하는 데에 예컨대 전자빔(EB), 자외(UV) 방사선, 적외(IR) 방사선, 및 마이크로웨이브(MW) 방사선이 이용될 수 있다.
그러나, EB, UV, IR 및 MW 경화 방법 모두는 각기 장점을 갖고 있음에도 이들 기술은 또한 한계도 있다. EB 및 UV 등의 고에너지 경화 소스는 고에너지 레벨을 제공하여 가교 결합에 너무 많은 가교 결합 개시제(자유 라디칼)를 생성함으로써, 기판 가열이 보충되는 상황에서 기계적 특성이 지나치게 향상된다. 한편, 전자 및 UV 광자는 화학적 결합의 무차별적인 해리를 야기하여, 소수성의 손실, 잔여 막 응력의 상승, 기공 구조의 붕괴, 막 치밀화 및 유전율 상승 등의 원하는 막의 물리적 및 전기적 특성을 부정적으로 열화시킬 수 있다. 더욱이, MW 경화 등의 저에너지 경화 소스는 주로 열 전달 효율성면에서 상당한 향상을 제공하지만, 동시에 예컨대 아킹(arcing) 또는 트랜지스터 손상 등의 부작용이 있다.
따라서, 다양한 실시형태에 따르면, EM 방사선을 이용하여, 기판 상에서 로우 k 유전체막을 비롯한 유전체층을 집적, 패터닝, 처리, 경화 및 세정하는 방법이 개시된다. 이하, 여러 도면에 걸쳐 같은 도면부호가 대응하는 부분을 나타내는 도면을 참조하면, 도 1은 실시형태에 따라 기판 상에 유전체막을 집적하기 위한 방법을 설명하기 위한 흐름도(1)를 제공한다. 또한, 기판 상에 유전체막을 집적하는 방법의 그림도(2)를 도 2에 도시한다.
흐름도(1)에 나타내는 방법은 단계 11(그림도 21)에서 기판(30) 상에서 유전체막(32)을 준비하면서 시작되며, 이 유전체막(32)은 유전율 값이 4 이하인 로우 k 유전체막이다. 기판(30)은 유전체막(32)이 위에 형성되는 반도체, 금속 도전체 또는 임의의 기타 기판일 수 있다.
유전체막(32)은 유전율이 약 4인 SiO2(예컨대, 서멀 이산화규소의 유전율은 약 3.8 내지 3.9의 범위에 있을 수 있음)보다 유전율 값이 낮을 수 있다(건조 및/또는 경화전 또는 건조 및/또는 경화후, 또는 양쪽 경우 모두). 본 발명의 다양한 실시형태에 있어서, 유전체막(32)의 유전율은 약 3.0보다 낮거나(건조 및/또는 경화전 또는 건조 및/또는 경화후, 또는 양쪽 경우 모두), 약 2.5보다 낮거나, 약 2.2보다 낮거나, 또는 약 1.7보다 낮을 수 있다.
유전체막(32)은 저유전율(로우 k) 막 또는 울트라 로우 k막으로서 기재될 수 있다. 유전체막(32)은 유기, 무기, 및 무기-유기 복합 재료 중 적어도 하나를 포함할 수 있다. 또한, 유전체막(32)은 다공성 또는 무공성일 수 있다.
유전체막(32)은, 예컨대 구조물 성형 물질과 기공 형성 물질을 포함하는 단상 또는 이중상의 다공성 로우 k막을 포함할 수 있다. 구조물 성형 물질은 원자, 분자, 또는 구조물 성형 전구체로부터 유래하는 분자의 파편을 포함할 수 있다. 기공 형성 물질은 원자, 분자, 또는 기공 형성 전구체(예컨대, 포로겐)로부터 유래하는 분자의 파편일 수 있다. 단상 또는 이중상의 다공성 로우 k막은 기공 형성 물질의 제거 이후보다 기공 형성 물질의 제거 이전에 유전율이 더 높을 수 있다.
단상의 다공성 로우 k막의 형성은 기판의 표면 상에, 구조물 성형 분자에 약하게 결합된 기공 형성 분자의 사이드 그룹을 갖는 구조물 성형 분자를 퇴적하는 단계를 포함할 수 있다. 예컨대, 단상 재료는 경화 공정 시에 가교 결합을 방해하는 말단 유기 사이드 그룹을 갖는 실리콘 산화물계 매트릭스를 포함하여 소형 보이드(또는 기공)를 생성한다. 또한, 이중상의 다공성 로우 k막의 형성은 기판의 표면 상에서 구조물 성형 분자 및 기공 형성 분자를 공중합하는 단계를 포함할 수 있다. 예컨대, 이중상 재료는 경화 공정 시에 분해되어 기화되는 유기 재료(예컨대, 포로겐)를 함유한 실리콘 산화물계 매트릭스를 포함할 수 있다.
또한, 유전체막(32)은 건조 및/또는 경화 이후보다 건조 및/또는 경화 이전에 유전율을 더 높게 하는 수분, 물, 용제 및/또는 기타 오염물질을 가질 수 있다.
유전체막(32)은 Tokyo Electron Limited(TEL)로부터 상업적으로 입수할 수 있는 Clean Track ACT 8 SOD 및 ACT 12 SOD 코팅 시스템에서 제공되는 화학적 기상 증착(CVD) 기술 또는 스핀온 유전체(SOD) 기술을 이용해서 형성될 수 있다. Clean Track ACT 8 (200 ㎜) 및 ACT 12 (300 ㎜) 코팅 시스템은 SOD 재료에 적합한 코팅, 베이킹 및 경화 툴을 제공한다. 트랙 시스템은 100 ㎜, 200 ㎜, 300 ㎜ 이상의 기판 사이즈를 처리하도록 구성될 수 있다. 스핀온 유전체 기술 및 CVD 유전체 기술 양쪽 분야의 종사들에게 알려져 있는, 기판 상에 막을 형성하기 위한 다른 시스템 및 방법이 본 발명에 적합할 수도 있다.
단계 12와 그림도 22에서, 유전체막(32)에 예비 경화 공정을 수행하여 유전체막(32)을 적어도 부분적으로 경화해 연경화(soft-cured) 유전체막(32A)을 생성한다. 예비 경화 공정은 유전체막(32)의 임의의 패터닝에 선행될 수 있으며, 열경화 공정, 적외(IR) 경화 공정, 자외(UV) 경화 공정, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다. 또한, 예비 경화 공정은 제1 기판 온도에서 수행될 수 있다. 예로서, 예비 경화 공정은 예비 가교 결합을 야기시켜 후속 경화 단계 시에 유전체막(32)에서의 응력 완화를 지원할 수 있다. 또한, 예컨대 예비 경화 공정은 에칭 공정 및/또는 세정 공정을 통해, 후속 패터닝 시에 유발되는 손상을 감소시킬 수 있다.
일 실시형태에 있어서, 예비 경화 공정은 선택적인 IR 방사선 및 선택적인 가열과 함께 UV 방사선을 이용하여 유전체막(32)을 연경화하는 단계를 포함한다.
예비 경화 공정 시에, UV 노출은 복수의 UV 노출을 포함할 수 있고, 각 UV 노출은 상이한 강도, 파워, 파워 밀도, 노출 시간, 파장 범위, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수도 포함하지 않을 수도 있다. 또한, IR 노출은 복수의 IR 노출을 포함할 수 있고, 각 IR 노출은 상이한 강도, 파워, 파워 밀도, 노출 시간, 파장 범위, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수도 포함하지 않을 수도 있다. 또, UV 노출과 IR 노출은 순차적으로 또는 동시에 수행될 수 있다.
UV 노출 또는 IR 노출 시에, 또는 양쪽 노출 시에, 유전체막(32)은 기판(30)의 기판 온도를 제1 기판 온도로 높임으로써 가열될 수 있고, 이 제1 기판 온도의 범위는 약 100℃(섭씨)~약 600℃이다. 한편, 제1 기판 온도의 범위는 약 100℃~ 약 500℃이다. 또, 제1 기판 온도의 범위는 약 100℃~약 300℃이다. 기판 가열은 전도 가열, 대류 가열, 복사 가열, 또는 이들 중 임의의 2가지 이상의 조합에 의해 수행될 수 있다. 예컨대, 기판 온도는 기판(30)과 접해 있는 기판 홀더의 온도를 상승시킴으로써 높아질 수 있다.
또한, 기판(30)의 가열은 UV 노출전, UV 노출중, UV 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 또, 가열은 IR 노출전, IR 노출중, IR 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 가열은 전도 가열, 대류 가열, 복사 가열, 또는 이들 중 임의의 2가지 이상의 조합에 의해 수행될 수 있다.
UV 및/또는 IR 노출 이전에, 유전체막(32)에 있는, 예컨대 습기, 물, 용제, 기공 형성 물질, 남아 있는 기공 형성 물질, 기공 형성 분자, 기공 형성 분자의 파편, 또는 예비 경화 공정과 간섭할 수 있는 기타 임의의 오염물질을 비롯한 하나 이상의 오염물질을 제거 또는 부분적으로 제거하기 위해 건조 공정이 수행될 수 있다.
유전체막(32)의 UV 방사선에의 노출은, 하나 이상의 UV 램프, 하나 이상의 UV LED(Light-Emitting Diode), 하나 이상의 UV 레이저, 또는 이들 중 2가지 이상의 조합으로부터의 UV 방사선에 유전체막(32)을 노출시키는 단계를 포함할 수 있다. UV 방사선은 연속형 또는 펄스형일 수 있다. UV 방사선은 광대역 또는 협대역일 수 있다. UV 방사선은 파장 범위가 약 100 나노미터(㎚)~약 600 ㎚인 UV 발광을 포함할 수 있다. 한편, UV 방사선은 파장 범위가 약 150 ㎚~약 400 ㎚일 수도 있다. 또, UV 방사선은 파장 범위가 약 200 ㎚~약 350 ㎚일 수도 있다. 또한, UV 방사선은 파장 범위가 약 150 ㎚~약 250 ㎚일 수도 있다. 또, UV 방사선은 파장 범위가 약 170 ㎚~약 240 ㎚일 수도 있다. 그리고, UV 방사선은 파장 범위가 약 200 ㎚~약 250 ㎚일 수도 있다.
유전체막(32)의 IR 방사선에의 노출은, 하나 이상의 IR 램프, 하나 이상의 IR LED(Light-Emitting Diode), 하나 이상의 IR 레이저, 또는 이들 중 2가지 이상의 조합으로부터의 IR 방사선에 유전체막(32)을 노출시키는 단계를 포함할 수 있다. IR 방사선은 연속형 또는 펄스형일 수 있다. IR 방사선은 광대역 또는 협대역일 수 있다. 예컨대, IR 방사선은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 포함할 수 있다. IR 방사선은 파장 범위가 약 1 미크론~약 25 미크론인 IR 발광을 포함할 수 있다. 한편, IR 방사선은 파장 범위가 약 2 미크론~약 20 미크론일 수도 있다. 또한, IR 방사선은 파장 범위가 약 8 미크론~약 14 미크론일 수도 있다. 또, IR 방사선은 파장 범위가 약 8 미크론~약 12 미크론일 수도 있다. 그리고, IR 방사선은 파장 범위가 약 9 미크론~약 10 미크론일 수도 있다.
본 발명자들은 전달된 에너지 레벨(hν)이 예비 경화 공정의 상이한 단계들에서 변할 수 있다는 것을 인식하였다. 예비 경화 공정은 습기 및/또는 오염물질의 제거, 기공 형성 물질의 제거, 기공 형성 물질의 분해, 가교 결합 개시제의 생성, 유전체막의 가교 결합, 및 가교 결합 개시제의 확산을 위한 메커니즘을 포함할 수 있다. 각 메커니즘은 상이한 에너지 레벨과, 에너지가 유전체막에 전달되는 상이한 속도를 필요로 할 수 있다.
예컨대, 기공 형성 물질의 제거 시에, 제거 공정은 IR 파장에서의 광자 흡수에 의해 용이해질 수 있다. 본 발명자들은 IR 노출이 가열 또는 UV 노출보다 더 효율적으로 기공 형성 물질의 제거에 도움이 되는 것을 발견하였다.
또한, 예컨대, 기공 형성 물질의 제거 시에, 제거 공정에는 기공 형성 물질의 분해가 도움이 될 수 있다. 제거 공정은 UV 노출이 보충된 IR 노출을 포함할 수 있다. 본 발명자들은 UV 노출이 기공 형성 물질(예컨대, 기공 형성 분자 및/또는 기공 형성 분자의 파편)과 구조물 성형 물질 간의 결합을 해리시킴으로써, IR 노출을 포함하는 제거 공정에 도움이 될 수 있다는 것을 발견하였다. 예컨대, 제거 및/또는 분해 공정에는 UV 파장(예컨대, 약 300 ㎚~약 450 ㎚)에서의 광자 흡수가 도움이 될 수도 있다.
또, 예컨대 가교 결합 개시제의 생성 시에, 개시제 생성 공정은 구조물 성형 물질 내에 광자 및 광자 유도 결합 해리를 이용함으로써 용이해질 수 있다. 본 발명자들은 UV 노출에 의해 개시제 생성 공정이 용이해질 수 있다는 것을 발견하였다. 예컨대, 결합 해리는 약 300 ㎚~약 400 ㎚ 이하의 파장을 갖는 에너지 레벨을 필요로 할 수 있다.
또한, 예컨대, 가교 결합 시에, 가교 결합 공정은 결합 형성 및 재구성에 충분한 열 에너지에 의해 용이해질 수 있다. 본 발명자들은 가교 결합이 IR 노출이나 가열, 또는 이들 모두에 의해 용이해질 수 있다는 것을 발견하였다. 예컨대, 결합 형성 및 재구성은 예컨대 실록산계 유기실리케이트 로우 k 재료의 메인 흡수 피크에 대응하는 약 9 미크론의 파장을 갖는 에너지 레벨을 필요로 할 수 있다.
단계 13 및 그림도 23에서, 리소그래피 공정 및 에칭 공정을 이용하여 연경화 유전체막(32A)에 패턴을 형성한다. 리소그래피 공정은 화상 노출 및 현상 순서를 이용하여 포토레지스트 등의 감방사선 재료층에 패턴을 준비하는 단계를 포함한다. 예컨대, 패턴은 트렌치나 라인 패턴, 또는 비아나 홀 패턴, 또는 이들의 조합을 포함할 수 있다. 패턴은 하나 이상의 에칭 공정을 이용하여, 아래에 있는 하드 마스크층 또는 캡층(34)에, 이어서 연경화 유전체막(32A)에 전사된다. 하나 이상의 에칭 공정은 건식 및/또는 습식 에칭 공정을 포함할 수 있다. 예컨대, 하나 이상의 에칭 공정은 건식 플라즈마 및/또는 건식 비플라즈마 에칭 공정을 포함할 수 있다.
단계 14 및 그림도 24에서, 기판(30)으로부터 표면 잔여부(35) 등의 원하지 않는 잔여물을 제거하여, 연경화 유전체막(32A)의 노출면 상에 축소된 잔여부(35A)를 생성한다. 축소된 잔여부(35A)를 갖는 노출면은 또한 저감된 손상을 나타낼 수 있다. 예로서, 원하지 않는 잔여물은 표면 흡착물질, 미립자, 습기, 에칭 잔여물, 원하지 않는 탄소 함유 잔여물, 비정질 탄소 함유 잔여물, 탄화수소 함유 잔여물, 탄화플루오르 함유 잔여물, 할로겐 함유 잔여물, 폴리머 함유 잔여물, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다.
울트라 로우 k 유전체막(즉, 유전율 k의 값이 2.5 이하인 유전체막)을 비롯한 유전체막(32), 즉 연경화 유전체막(32A)의 패터닝 시에, 유전체막(32)의 패터닝을 수행하는데 이용된 하나 이상의 에칭 공정에 의해, 무엇보다도 유전율 k, 표면 거칠기, 및 유전체막(32)의 친수성을 포함해서, 유전체막(32), 즉 연경화 유전체막(32A)이 손상될 수 있다. 또한, 플라즈마 애싱 공정 등의 애싱 공정 및/또는 습식 세정 공정을 이용하여, 유전체막(32), 즉 연경화 유전체막(32A)의 패터닝에 이용된 하나 이상의 마스크층을 제거하는 중에, 표면 흡착물질의 추가 축적을 비롯한 추가 감성(減成) 및/또는 손상이 초래될 수 있다. 또한, 유전체막(30), 즉 연경화 유전체막(32A)을 위한 저유전율 k의 준비 시에, 탄소 함량을 높이는 것이 바람직하다. 그러나, 플라즈마 강화 화학적 기상 퇴적(PECVD: Plasma Enhanced Chemical Vapor Deposition) 공정을 이용하여 탄소 함량을 높일 경우에, 비교적 유전율 k가 높은 의도하지 않은 비정질 탄소 잔여물이 남아서 제거하기 곤란하다. 이 비정질 탄소 함유 잔여물은 유전율 k의 추가 감소를 막는다.
따라서, 원하지 않는 잔여물의 제거는, (1) 유전체막(32), 즉 연경화 유전체막(32A)의 패터닝 시에 이용된 포토레지스트 또는 포토레지스트 잔여물 등의 하나 이상의 마스크층을 박리, (2) 습기, 에칭 잔여물, 할로겐 함유 잔여물, 탄화플루오르 함유 잔여물, 탄화수소 함유 잔여물 등을 비롯한, 전술한 원하지 않는 잔여물 또는 표면 흡착물질 중 임의의 것을 제거하기 위하여 유전체막(32), 즉 연경화 유전체막(32A)의 하나 이상의 노출면을 세정, (3) 유전체막(32), 즉 연경화 유전체막(32A)의 하나 이상의 노출면을 탈수, (4) 의도하지 않은 비정질 탄소 함유 잔여물을 제거하여 유전체막(32), 즉 연경화 유전체막(32A)의 유전율 k을 감소, (5) 유전체막(32), 즉 연경화 유전체막(32A)의 감성 및/또는 추가 손상 없이 하나 이상의 박리 및/또는 세정 공정을 수행, 또는 (6) 이들 중 임의의 2가지 이상의 조합을 수행하는 것을 포함할 수 있다.
일 실시형태에 있어서, 원하지 않는 잔여물은 유전체막(32), 즉 연경화 유전체막(32A)에 패턴을 포함하는 기판(30)에 IR 방사선 및 선택적인 UV 방사선을 조사함으로써 건식 EM 방사선 세정 공정을 이용해 제거될 수 있다. 이하에서 상세하게 설명하겠지만, 원하지 않는 잔여물은, 기판(30)에 대해, UV 방사선에의 선택적인 노출 및/또는 기판(30)을 향한 방향으로 제트축을 따라 노즐로부터 분출되는 가스 또는 증기 제트에의 선택적인 노출과 함께 IR 방사선의 빔을 조사하여 기판(30)으로부터 제거될 수 있으며, 가스 또는 증기 제트는 기판(30)과 반응하거나 반응하지 않을 수 있다. 또한, 원하지 않는 잔여물의 제거는 기판(30)을 약 20℃~약 250℃의 범위의 기판 온도로 가열하는 단계를 포함할 수 있다.
본 발명자들은 원거리 IR 발광 등의 IR 방사선이, 패터닝된 유전체막에, 및/또는 탄화수소 함유 물질 및 탄화플루오르 함유 물질 등의 통상의 표면 흡착물질에 강하게 흡수될 수 있다고 믿는다. 또한, EM 방사선으로부터 발생한 온도 구배로 인한 열영동력(thermophoretic force)이 표면 흡착물질 및 미립자의 제거에 도움을 줄 수 있다고 믿는다. 또, UV 방사선은 포토레지스트, 탄화수소 함유 물질, 및 탄화플루오르 함유 물질 등의 표면 흡착물질 내의 통상의 화학적 결합의 절단을 지원하여 탈착 공정을 용이하게 할 수 있다고 믿는다.
다른 실시형태에서는, 전술한 바와 같이, 축소 플라즈마 애싱 공정 등의 감소 애싱 공정과 결합된 건식 EM 방사선 세정 공정을 이용해서 원하지 않는 잔여물을 제거할 수 있다. 축소 애싱 공정은 원하지 않는 잔여물을 적어도 부분적으로 제거하는데 이용될 수 있다. 예컨대, 축소 애싱 공정은 유전체막(32), 즉 연경화 유전체막(32A)에 저감된 손상을 일으키는 플라즈마 공정 조건 등의 공정 조건을 포함할 수 있다. 공정 조건은 단축된 애싱 시간, 저하된 플라즈마 파워, 저하된 화학작용(예컨대, 덜 공격적인 화학작용, 손상이 덜한 화학작용), 또는 이들 중 임의의 조합을 포함할 수 있다.
다른 실시형태에서는, 원하지 않는 잔여물은 애싱 공정이나, 습식 세정 공정, 또는 이들 모두를 이용해서 제거될 수 있다. 예컨대, 애싱 공정은 건식 플라즈마 애싱 공정을 포함할 수 있다. 또한, 예컨대, 습식 세정 공정은 수성 HF 용제 등의 습식 세정 용제 속에 기판(30)을 침지하는 단계를 포함할 수 있다.
그림도 25에서는, 선택적 실릴화(silylation) 공정을 단계 14의 원하지 않는 잔여물 제거(그림도 24) 이후에, 그리고 최종 경화 공정 이전에 수행하여 실릴화 표면층(35B)을 형성한다. 실릴화 공정은 유전체막(32), 즉 연경화 유전체막(32A)의 노출면에 대해 평탄화, 정화(healing), 및/또는 시일을 위한 보호기로서 작용하는 실릴기를 유전체막(32), 즉 연경화 유전체막(32A)에 도입하는 단계를 포함한다.
일 실시형태에 있어서, 실릴화 공정은 실란 화합물, 실라잔 화합물, HMDS, TMCS, 또는 이들 중 임의의 2가지 이상의 조합을 도입하는 단계를 포함할 수 있다. 실릴화는 기판(30)을 약 200℃와 약 400℃ 사이의 기판 온도로 유지하는 단계를 더 포함할 수 있다. 다른 실시형태에 있어서, 실릴화 공정은 기판(30)에 UV 방사선을 조사하는 단계를 더 포함할 수 있다.
단계 15 및 그림도 26에서, 유전체막(32), 즉 연경화 유전체막(32A)에 최종 경화 공정을 수행하여 유전체막(32)을 적어도 추가 경화해서 강경화(hard-cured) 유전체막(32B)을 생성한다. 최종 경화 공정은 열경화 공정, IR 경화 공정, UV 경화 공정, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다. 또한, 최종 경화 공정은 제2 기판 온도에서 수행될 수 있다. 일 실시형태에 있어서, 제2 기판 온도는 제1 기판 온도를 초과한다. 일례로서, 최종 경화 공정은 유전체막(32), 즉 연경화 유전체막(32A)의 실질적으로 완전한 가교 결합을 야기하여 예컨대 기계적 특성을 비롯한 막 특성을 향상시킬 수 있다.
일 실시형태에 있어서, 최종 경화 공정은 선택적인 IR 방사선 및 선택적인 가열과 함께 UV 방사선을 이용하여 유전체막(32)을 강경화하는 단계를 포함한다.
최종 경화 공정 시에, UV 노출은 복수의 UV 노출을 포함할 수 있고, 각 UV 노출은 상이한 강도, 파워, 파워 밀도, 노출 시간, 파장 범위, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수도 포함하지 않을 수도 있다. 또한, IR 노출은 복수의 IR 노출을 포함할 수 있고, 각 IR 노출은 상이한 강도, 파워, 파워 밀도, 노출 시간, 파장 범위, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수도 포함하지 않을 수도 있다. 또, UV 노출과 IR 노출은 순차적으로 또는 동시에 수행될 수 있다.
UV 노출 또는 IR 노출 시에, 또는 양쪽 노출 시에, 유전체막(32), 즉 연경화 유전체막(32A)은 기판(30)의 기판 온도를 제1 기판 온도로 높임으로써 가열될 수 있고, 이 제1 기판 온도의 범위는 약 100℃~약 600℃이다. 한편, 제1 기판 온도의 범위는 약 100℃~약 500℃이다. 또, 제1 기판 온도의 범위는 약 100℃~약 300℃이다. 기판 가열은 전도 가열, 대류 가열, 복사 가열, 또는 이들 중 임의의 2가지 이상의 조합에 의해 수행될 수 있다. 예컨대, 기판 온도는 기판(30)과 접해 있는 기판 홀더의 온도를 상승시킴으로써 높아질 수 있다.
또한, 기판(30)의 가열은 UV 노출전, UV 노출중, UV 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 또, 가열은 IR 노출전, IR 노출중, IR 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 가열은 전도 가열, 대류 가열, 복사 가열, 또는 이들 중 임의의 2가지 이상의 조합에 의해 수행될 수 있다.
UV 및/또는 IR 노출 이전에, 유전체막(32), 즉 연경화 유전체막(32A)에 있는, 예컨대 습기, 물, 용제, 기공 형성 물질, 남아 있는 기공 형성 물질, 기공 형성 분자, 기공 형성 분자의 파편, 또는 최종 경화 공정과 간섭할 수 있는 기타 임의의 오염물질을 비롯한 하나 이상의 오염물질을 제거 또는 부분적으로 제거하기 위해 건조 공정을 수행할 수 있다.
유전체막(32), 즉 연경화 유전체막(32A)의 UV 방사선에의 노출은, 하나 이상의 UV 램프, 하나 이상의 UV LED(Light-Emitting Diode), 하나 이상의 UV 레이저, 또는 이들 중 2가지 이상의 조합으로부터의 UV 방사선에 유전체막(32), 즉 연경화 유전체막(32A)을 노출시키는 단계를 포함할 수 있다. UV 방사선은 연속형 또는 펄스형일 수 있다. UV 방사선은 광대역 또는 협대역일 수 있다. UV 방사선은 파장 범위가 약 100 나노미터(㎚)~약 600 ㎚인 UV 발광을 포함할 수 있다. 한편, UV 방사선은 파장 범위가 약 150 ㎚~약 400 ㎚일 수도 있다. 또, UV 방사선은 파장 범위가 약 200 ㎚~약 350 ㎚일 수도 있다. 또한, UV 방사선은 파장 범위가 약 150 ㎚~약 250 ㎚일 수도 있다. 또, UV 방사선은 파장 범위가 약 170 ㎚~약 240 ㎚일 수도 있다. 그리고, UV 방사선은 파장 범위가 약 200 ㎚~약 250 ㎚일 수도 있다.
유전체막(32), 즉 연경화 유전체막(32A)의 IR 방사선에의 노출은, 하나 이상의 IR 램프, 하나 이상의 IR LED(Light-Emitting Diode), 하나 이상의 IR 레이저, 또는 이들 중 2가지 이상의 조합으로부터의 IR 방사선에 유전체막(32)을 노출시키는 단계를 포함할 수 있다. IR 방사선은 연속형 또는 펄스형일 수 있다. IR 방사선은 광대역 또는 협대역일 수 있다. 예컨대, IR 방사선은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 포함할 수 있다. IR 방사선은 파장 범위가 약 1 미크론~약 25 미크론인 IR 발광을 포함할 수 있다. 한편, IR 방사선은 파장 범위가 약 2 미크론~약 20 미크론일 수도 있다. 또한, IR 방사선은 파장 범위가 약 8 미크론~약 14 미크론일 수도 있다. 또, IR 방사선은 파장 범위가 약 8 미크론~약 12 미크론일 수도 있다. 그리고, IR 방사선은 파장 범위가 약 9 미크론~약 10 미크론일 수도 있다.
본 발명자들은 전달된 에너지 레벨(hν)이 최종 경화 공정의 상이한 단계들에서 변할 수 있다는 것을 인식하였다. 최종 경화 공정은 습기 및/또는 오염물질의 제거, 기공 형성 물질의 제거, 기공 형성 물질의 분해, 가교 결합 개시제의 생성, 유전체막의 가교 결합, 및 가교 결합 개시제의 확산을 위한 메커니즘을 포함할 수 있다. 각 메커니즘은 상이한 에너지 레벨과, 에너지가 유전체막에 전달되는 상이한 속도를 필요로 할 수 있다.
예컨대, 기공 형성 물질의 제거 시에, 제거 공정은 IR 파장에서의 광자 흡수에 의해 용이해질 수 있다. 본 발명자들은 IR 노출이 가열 또는 UV 노출보다 더 효율적으로 기공 형성 물질의 제거에 도움이 되는 것을 발견하였다.
또한, 예컨대, 기공 형성 물질의 제거 시에, 제거 공정에는 기공 형성 물질의 분해가 도움이 될 수 있다. 제거 공정은 UV 노출이 보충된 IR 노출을 포함할 수 있다. 본 발명자들은 UV 노출이 기공 형성 물질(예컨대, 기공 형성 분자 및/또는 기공 형성 분자의 파편)과 구조물 성형 물질 간의 결합을 해리시킴으로써, IR 노출을 포함한 제거 공정에 도움이 될 수 있다는 것을 발견하였다. 예컨대, 제거 및/또는 분해 공정에는 UV 파장(예컨대, 약 300 ㎚~약 450 ㎚)에서의 광자 흡수가 도움이 될 수도 있다.
또, 예컨대 가교 결합 개시제의 생성 시에, 개시제 생성 공정은 구조물 성형 물질 내에 광자 및 광자 유도 결합 해리를 이용함으로써 용이해질 수 있다. 본 발명자들은 UV 노출에 의해 개시제 생성 공정이 용이해질 수 있다는 것을 발견하였다. 예컨대, 결합 해리는 약 300 ㎚~약 400 ㎚ 이하의 파장을 갖는 에너지 레벨을 필요로 할 수 있다.
또한, 예컨대, 가교 결합 시에, 가교 결합 공정은 결합 형성 및 재구성에 충분한 열 에너지에 의해 용이해질 수 있다. 본 발명자들은 가교 결합이 IR 노출이나 가열, 또는 이들 모두에 의해 용이해질 수 있다는 것을 발견하였다. 예컨대, 결합 형성 및 재구성은 예컨대 실록산계 유기실리케이트 로우 k 재료의 메인 흡수 피크에 대응하는 약 9 미크론의 파장을 갖는 에너지 레벨을 필요로 할 수 있다.
또, 패터닝된 강경화 유전체막(32B)은 그 강경화 유전체막(32B)을 개질하도록 구성된 후처리 시스템에서 선택적으로 후처리될 수 있다. 예컨대, 후처리는 강경화 유전체막(32B)을 가열하는 단계를 포함할 수 있다. 한편, 예컨대 후처리는 후속 막에 대한 어드히젼을 증진시키거나 소수성을 향상시키기 위하여 강경화 유전체막(32B) 상에 다른 막을 스핀 코팅 또는 증착하는 단계를 포함할 수 있다. 한편, 예컨대, 어드히젼 증진은 강경화 유전체막(32B)에 가볍게 이온 충격을 가함으로써 후처리 시스템에서 실현될 수도 있다. 또한, 후처리는 강경화 유전체막(32B) 상에 다른 막을 성막, 강경화 유전체막(32B)의 세정, 또는 강경화 유전체막(32B)의 플라즈마에의 노출 중 하나 이상을 수행하는 단계를 포함할 수 있다.
이제 도 3을 참조하면, 실시형태에 따른 기판 세정 방법을 설명하기 위한 흐름도(4)가 제공된다. 또한, 기판을 세정하기 위한 시스템 및 방법이 도 4a, 도 4b, 및 도 5a~도 5d에 도시된다.
도 3, 도 4a, 도 4b, 및 도 5a~도 5d에 도시하는 바와 같이, 흐름도(4)에 나타내는 방법은 하나 이상의 층 또는 구조물(60A-60D)을 포함하는 기판(50) 상의 영역(62)에 적외(IR) 방사선 및 선택적인 자외(UV) 방사선을 조사하여, 하나 이상의 층 또는 구조물(60A-60D)로부터 물질 또는 원하지 않는 잔여물(65A-65D)를 제거하는 단계 41로 시작된다. 예로서, 원하지 않는 잔여물은 표면 흡착물질, 미립자, 습기, 에칭 잔여물, 원하지 않는 탄소 함유 잔여물, 비정질 탄소 함유 잔여물, 탄화수소 함유 잔여물, 탄화플루오르 함유 잔여물, 할로겐 함유 잔여물, 폴리머 함유 잔여물, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다.
하나 이상의 층 또는 구조물(60A-60D)은 로우 k층, 울트라 로우 k층, 포토레지스트층, 반사 방지 코팅(ARC)층, 유기 평탄화층(OPL), 소프트 마스크층, 하드 마스크층, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다. 또한, 하나 이상의 층 또는 구조물(60A-60D)은 패터닝되지 않은 블랭크층 또는 구조물을 포함할 수 있거나, 하나 이상의 층 또는 구조물(60A-60D)은 도 5a~도 5d에 도시하는 바와 같이, 패터닝된 층 또는 구조물을 포함할 수도 있다. 예컨대, 패터닝된 층 또는 구조물은 리소그래피 및/또는 에칭 공정을 이용하여 형성될 수 있다. 또한, 예컨대, 패터닝된 층 또는 구조물은 패터닝된 마스크층과 에칭 공정을 이용하여 형성될 수 있다.
IR 방사선은 기판(50) 상에 빔스폿(53)을 형성하는, IR 소스(51)로부터 발광된 IR 방사선 빔(52)을 포함할 수 있다. IR 소스(51)는 하나 이상의 IR 램프, 하나 이상의 IR LED(Light-Emitting Diode), 하나 이상의 IR 레이저, 또는 이들 중 2가지 이상의 조합을 포함할 수 있다. IR 방사선은 연속형 또는 펄스형일 수 있다. IR 방사선은 광대역 또는 협대역일 수 있다. 예컨대, IR 방사선은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 포함할 수 있다. IR 방사선은 파장 범위가 약 1 미크론~약 25 미크론인 IR 발광을 포함할 수 있다. 한편, IR 방사선은 파장 범위가 약 2 미크론~약 20 미크론일 수도 있다. 또한, IR 방사선은 파장 범위가 약 8 미크론~약 14 미크론일 수도 있다. 또, IR 방사선은 파장 범위가 약 8 미크론~약 12 미크론일 수도 있다. 그리고, IR 방사선은 파장 범위가 약 9 미크론~약 10 미크론일 수도 있다. IR 방사선에 대한 스펙트럼 콘텐츠를 하나 이상의 층 또는 구조물(60A-60D)의 잔여부의 적어도 일부, 또는 제거될 물질 또는 원하지 않는 잔여물의 적어도 일부에 흡수시키도록 선택될 수 있다.
UV 소스(도시 생략)는 하나 이상의 UV 램프, 하나 이상의 UV LED(Light-Emitting Diode), 하나 이상의 UV 레이저, 또는 이들 중 2가지 이상의 조합을 포함할 수 있다. UV 방사선은 연속형 또는 펄스형일 수 있다. UV 방사선은 광대역 또는 협대역일 수 있다. UV 방사선은 파장 범위가 약 100 나노미터(㎚)~약 600 ㎚인 UV 발광을 포함할 수 있다. 한편, UV 방사선은 파장 범위가 약 250 ㎚보다 클 수도 있다.
IR 노출과 UV 노출은 순차적으로 또는 동시에 수행될 수 있다. 예컨대, 조사는, UV 방사선과 동시, UV 방사선이 선행, UV 방사선이 후행, 또는 이들 중 임의의 2가지 이상의 조합으로 IR 방사선을 조사하는 단계를 포함할 수 있다.
IR 노출, UV 노출 또는 양쪽 노출 시에, 하나 이상의 층 또는 구조물(60A-60D)은 기판(50)의 기판 온도를 약 20℃~약 250℃의 범위의 온도로 높임으로써 가열될 수 있다. 예를 들어, 기판 온도는 기판(50)과 접해 있는 기판 홀더의 온도를 높임으로써 상승할 수 있다.
또한, 기판(50)의 가열은 IR 노출전, IR 노출중, IR 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 또한, 가열은 UV 노출전, UV 노출중, UV 노출후, 또는 이들 중 임의의 2가지 이상의 조합에서 이루어질 수 있다. 가열은 전도 가열, 대류 가열, 복사 가열, 또는 이들 중 임의의 2가지 이상의 조합에 의해 수행될 수 있다.
단계 42에서, 영역(62)의 적어도 일부를, 기판(50)을 향한 방향으로 제트축(57, 57')을 따라 가스 노즐(55)로부터 분출되는 가스 또는 증기 제트(56, 56')에 노출시킨다. 예컨대, 제트축(57, 57')은 기판(50) 상에서 빔스폿(53)과 교차할 수 있다. 가스 또는 증기 제트(56, 56')는 영역(62)의 적어도 일부와 반응하도록 또는 반응하지 않도록 선택될 수 있다. 또한, 가스 또는 증기 제트(56, 56')는 He, Ne, Ar, Kr, Xe, N2, H2, NH3, CO, CO2, O2, 또는 이들 중 임의의 2가지 이상의 조합을 포함할 수 있다. 예컨대, 산소 함유 가스는 탄소와 화합하여 CO 또는 CO2 등의 휘발성 부산물을 생성할 수 있다.
일례에 있어서, 도 5a에는 세정 공정이 개략적으로 도시되어 있다. 세정 공정은 패터닝된 로우 k 유전체 재료(63)를 포함하는 하나 이상의 층 또는 구조물(60A)에, UV 방사선(68)이 지원된 IR 방사선(67)을 조사하여, 패터닝된 로우 k 유전체 재료(63)의 측벽 상에 있는 포토레지스트층(64A)과 포토레지스트 잔여물(65A)을 제거하는 단계를 포함한다. 그 결과, 세정 공정은 저감된 포토레지스트(66A) 및/또는 포토레지스트 관련 손상을 갖는 하나 이상의 세정된 층 또는 구조물(61A)을 생성한다. 본 발명자들은, 약 300 ㎚(그러나 이 파장 범위에 한정되지는 않음)보다 큰 UV 발광을 갖는 UV 방사선은 저온의 기판 온도에서 선택적으로 폴리머 흡착물질을 결합할 수 있지만, IR 방사선의 흡수는 로우 k 유전체 재료의 노출면 상에서의 휘발성 폴리머 잔여물의 탈착을 지원할 수 있다고 생각한다. 전술한 바와 같이, 세정 공정은 축소된(예컨대, 덜 공격적인) 애싱 공정과 추가 결합될 수 있다.
다른 예에 있어서, 도 5b에는 세정 공정이 개략적으로 도시되어 있다. 세정 공정은 패터닝된 로우 k 유전체 재료(63) 및 패터닝된 하드 마스크/캡 재료(64B)를 포함하는 하나 이상의 층 또는 구조물(60B)에, UV 방사선(68)이 지원된 IR 방사선(67)을 조사하여, 패터닝된 로우 k 유전체 재료(63)의 측벽 상에 있는 포토레지스트 잔여물(65B)을 제거하는 단계를 포함한다. 그 결과, 세정 공정은 저감된 포토레지스트(66B) 및/또는 포토레지스트 관련 손상을 갖는 하나 이상의 세정된 층 또는 구조물(61B)을 생성한다. 본 발명자들은, 약 300 ㎚(그러나 이 파장 범위에 한정되지는 않음)보다 큰 UV 발광을 갖는 UV 방사선은 저온의 기판 온도에서 선택적으로 폴리머 흡착물질을 결합할 수 있고, IR 방사선의 흡수는 로우 k 유전체 재료의 노출면 상에서의 휘발성 폴리머 잔여물의 탈착을 보조할 수 있다고 생각한다. 전술한 바와 같이, 세정 공정은 축소된(예컨대, 덜 공격적인) 애싱 공정과 추가 결합될 수 있다.
다른 예에 있어서, 도 5c에는 세정 공정이 개략적으로 도시되어 있다. 세정 공정은 패터닝된 로우 k 유전체 재료(63) 및 패터닝된 하드 마스크/캡 재료(64C)를 포함하는 하나 이상의 층 또는 구조물(60C)에, IR 방사선(67)을 조사하여, 패터닝된 로우 k 유전체 재료(63)의 측벽 상에 있는 습기(65C)를 제거하는 단계를 포함한다. 그 결과, 세정 공정은 저감된 습기(66C) 및/또는 습기 관련 손상을 갖는 하나 이상의 세정된 층 또는 구조물(61C)을 생성한다. 본 발명자들은 IR 방사선이 로우 k 유전체 재료를 선택적으로 가열하여 습기를 제거할 수 있다고 생각한다.
다른 예에 있어서, 도 5d에는 세정 공정이 개략적으로 도시되어 있다. 세정 공정은 패터닝된 로우 k 유전체 재료(63) 및 패터닝된 소프트 마스크/하드 마스크/캡 재료(64D)를 포함하는 하나 이상의 층 또는 구조물(60D)에 IR 방사선(67)을 조사하여, 패터닝된 로우 k 유전체 재료(63)의 측벽 상에 있는 비정질 탄소(65D)를 제거하는 단계를 포함한다. 그 결과, 세정 공정은 저감된 비정질 탄소(66D) 및/또는 비정질 탄소 관련 손상을 갖는 하나 이상의 세정된 층 또는 구조물(61D)을 생성한다. 추가로 또는 대안으로, 세정 공정은 UV 방사선을 포함할 수 있다. 본 발명자들은 IR 및/또는 UV 방사선이 비정질 탄소를 효과적으로 제거하여 유전율 k를 감소시킨다고 생각한다. 또한, 본 발명자들은 세정 공정에 있어서 IR 및/또는 UV 노출에 이어지면 후속 UV 유도 실릴화가 더 효과적으로 적용된다고 생각한다.
일 실시형태에 따르면, 도 6과 도 7은 각각 기판 상의 유전체막을 처리하기 위한 공정 플랫폼(100)의 측면도 및 평면도를 제공한다. 공정 플랫폼(100)은 제1 공정 모듈(110)과 제2 공정 모듈(120)을 포함한다. 제1 공정 모듈(110)은 경화 시스템, 세정 시스템, 표면 개질 시스템, 또는 건조 시스템을 포함할 수 있다. 제2 공정 모듈(120)은 경화 시스템, 세정 시스템, 표면 개질 시스템, 또는 건조 시스템을 포함할 수 있다.
건조 시스템은 예컨대 습기, 물, 용제, 오염물질, 기공 형성 물질, 남아 있는 기공 형성 물질, 구조체 성형 물질에 약하게 결합된 사이드 그룹, 기공 형성 분자, 기공 형성 분자의 파편, 가교 결합 억제제, 가교 결합 억제제의 파편, 또는 경화 시스템에서 수행되는 경화 공정과 간섭할 수 있는 기타 임의의 오염물질을 비롯한 유전체막에 있는 하나 이상의 오염물질, 기공 형성 물질, 및/또는 가교 결합 억제제를 제거 또는 충분한 수준까지 감소시키도록 구성될 수 있다.
예컨대, 건조 공정 이전부터 건조 공정 이후까지, 유전체막 내에 존재하는 특정 오염물질의 충분한 감소는 특정 오염물질의 약 10% 내지 약 100%의 감소를 포함할 수 있다. 오염물질 감소의 수준은 푸리에 변환 적외선(FTIR: Fourier Transform InFrared) 분광법 또는 질량 분광법을 이용하여 계측될 수 있다. 한편, 예컨대 유전체막 내에 존재하는 특정 오염물질의 충분한 감소의 범위는 약 50% 내지 약 100%일 수 있다. 또, 예컨대 유전체막 내에 존재하는 특정 오염물질의 충분한 감소의 범위는 약 80% 내지 약 100%일 수 있다.
계속 도 6을 참조하여, 경화 시스템은 예비 경화 공정이나 최종 경화 공정을, 또는 이들 모두를 수행하도록 구성될 수 있다. 한편, 경화 시스템은 예컨대 유전체막의 기계적 특성을 향상시키기 위해 유전체막 내에 가교 결합을 야기하거나 부분적으로 야기함으로써 유전체막을 경화하도록 구성될 수 있다. 또한, 경화 시스템은 가교 결합의 개시, 기공 형성 물질의 제거, 기공 형성 물질의 분해 등을 일으키거나 또는 부분적으로 일으킴으로써 유전체막을 경화하도록 구성될 수 있다. 경화 시스템은 유전체막을 갖는 기판을 다중 EM 파장의 EM 방사선에 노출시키도록 구성된 하나 이상의 방사선 소스를 포함할 수 있다. 예를 들어, 하나 이상의 방사선 소스는 IR 방사선 소스와 UV 방사선 소스를 포함할 수 있다. UV 방사선 및 IR 방사선에의 기판 노출은 동시에, 순차적으로 또는 서로 부분적으로 겹치게 수행될 수 있다. 순차 노출 시에, UV 방사선에의 기판 노출은 예컨대 IR 방사선에의 기판 노출에 선행하거나 IR 방사선에의 기판 노출에 후행하거나 또는 이들 모두로 이루어질 수 있다. 또한, 순차 노출 시에, IR 방사선에의 기판 노출은 예컨대 UV 방사선에의 기판 노출에 선행하거나, UV 방사선에의 기판 노출에 후행하거나 또는 이루 모두로 이루어질 수 있다.
예컨대, IR 방사선은 약 1 미크론~약 25 미크론에 이르는 IR 방사선 소스를 포함할 수 있다. 또한, 예컨대 IR 방사선은 약 2 미크론~약 20 미크론, 약 8 미크론~약 14 미크론, 약 8 미크론~약 12 미크론, 또는 약 9 미크론~약 10 미크론에 이를 수 있다. 또한, 예컨대 UV 방사선은 약 100 나노미터(㎚)~약 600 ㎚에 이르는 방사선을 생성하는 UV파 대역 소스를 포함할 수 있다. 또한, 예컨대 UV 방사선의 범위는 약 150 ㎚~약 400 ㎚, 약 150 ㎚~약 300 ㎚, 약 170 ㎚~약 240 ㎚, 또는 약 200 ㎚~약 250 ㎚일 수 있다.
대안적으로, 제1 공정 모듈(110)은 기판을 UV 방사선에 노출시키도록 구성된 제1 경화 시스템을 포함할 수 있고, 제2 공정 모듈(120)은 기판을 IR 방사선에 노출시키도록 구성된 제2 경화 시스템을 포함할 수 있다.
IR에의 기판 노출은 제1 공정 모듈(110)이나 제2 공정 모듈(120), 또는 별도의 공정 모듈(도시 생략)에서 수행될 수 있다.
계속 도 6을 참조하여, 세정 시스템은 원하지 않는 잔여물의 제거를 수행하도록 구성될 수 있다. 예를 들어, 세정 시스템은 도 4a와 도 4b에서 설명한 시스템 중 어느 하나를 포함할 수 있다.
또, 도 6과 도 7에 도시하는 바와 같이, 반송 시스템(130)은 기판을 제1 공정 모듈(110)과 제2 공정 모듈(120)에 반입 반출하고 다중 소자(multi-element) 제조 시스템(140)과의 사이에서 기판을 전달하기 위하여 제2 공정 모듈(120)에 연결될 수 있다. 반송 시스템(130)은 진공 환경을 유지하면서 제1 공정 모듈(110)과 제2 공정 모듈(120)에 대해 기판을 반송할 수 있다.
제1 및 제2 공정 모듈(110, 120)과 반송 시스템(130)은 예컨대 다중 소자 제조 시스템(140)의 범위 안에 공정부(102)를 포함할 수 있다. 반송 시스템(130)은 제1 공정 모듈(110), 제2 공정 모듈(120)과 다중 소자 제조 시스템(140)의 사이에 하나 이상의 기판을 이동시키기 위한 전용 기판 핸들러(160)를 포함할 수 있다. 예컨대, 전용 기판 핸들러(160)는 공정 모듈[제1 공정 모듈(110)과 제2 공정 모듈(120)]과 다중 소자 제조 시스템(140)의 사이에서 하나 이상의 기판을 반송하는 것을 전담하지만, 본 실시형태가 여기에 한정되지는 않는다.
예를 들어, 다중 소자 제조 시스템(140)은 에칭 시스템, 성막 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 디바이스를 포함하는 공정부에 대해 기판을 반송하는 것을 허용할 수 있다. 예로서, 성막 시스템은 하나 이상의 증착 시스템을 포함할 수 있으며, 그 각각은 기판 상에 유전체막을 증착하도록 구성되어 있으며, 그 유전체막은 다공성 유전체막, 무공성 유전체막, 저 유전율(로우 k) 막, 또는 울트라 로우 k막을 포함한다. 제1 및 제2 시스템에서 이루어지는 공정을 절연시키기 위해, 절연 어셈블리(150)를 각 시스템을 연결하는데 이용할 수 있다. 예를 들어, 절연 어세블리(150)는 단열을 제공하기 위한 단열 어셈블리와, 진공 단열을 제공하기 위한 게이트 밸브 어셈블리 중 적어도 하나를 포함할 수 있다. 제1 및 제2 공정 모듈(110, 120)과 반송 시스템(130)은 임의의 순서로 배치될 수 있다.
도 7은 하나 이상의 기판을 처리하기 위한 도 6에 도시한 공정 플랫폼(110)의 평면도이다. 본 실시형태에서는, 기판(142)이 제1 및 제2 공정 모듈(110, 120)에서 처리된다. 도 7의 각 처리 시스템에는 하나의 기판만 도시하고 있지만, 2개 이상의 기판이 각 공정 모듈에서 동시에 처리될 수 있다.
계속 도 7을 참조하면, 공정 플랫폼(100)은, 다중 소자 제조 시스템(140)으로부터 연장되며 서로 동시에 작업하도록 구성된 제1 공정부(102)과 제2 공정부(104)를 포함할 수 있다. 도 6과 도 7에 도시하는 바와 같이, 제1 공정부(102)는 제1 공정 모듈(110)과 제2 공정 모듈(120)을 포함할 수 있고, 반송 시스템(130)은 전용 기판 핸들러(160)를 이용하여 기판(142)을 제1 공정부(102)에 반입 및 반출한다.
한편, 도 8은 다른 실시형태에 따라 하나 이상의 기판을 처리하기 위한 공정 플랫폼(200)의 측면도를 제공한다. 공정 플랫폼(200)은 기판 상의 유전체막을 처리하도록 구성될 수 있다.
공정 플랫폼(200)은 제1 공정 모듈(210)과 제2 공정 모듈(220)을 포함하고, 이 제1 공정 모듈(210)은 도시하는 바와 같이 수직 방향으로 제2 공정 모듈(220) 위에 적층된다. 제1 공정 모듈(210)은 경화 시스템을 포함할 수 있고, 제2 공정 모듈(220)은 건조 시스템을 포함할 수 있다. 한편, 제1 공정 모듈(210)은 기판을 UV 방사선에 노출시키도록 구성된 제1 경화 시스템을 포함할 수도 있고, 제2 공정 모듈(220)은 기판을 IR 방사선에 노출시키도록 구성된 제2 경화 시스템을 포함할 수도 있다.
또한, 도 8에 도시하는 바와 같이, 반송 시스템(230)은 기판을 제1 공정 모듈(210)에 반입 반출하기 위하여 제1 공정 모듈(210)에 연결될 수 있고, 기판을 제2 공정 모듈(220)에 반입 반출하기 위하여 제2 공정 모듈(220)에 연결될 수 있다. 반송 시스템(230)은 제1 공정 모듈(210), 제2 공정 모듈(220)과 다중 소자 제조 시스템(240)의 사이에서 하나 이상의 기판을 이동시키기 위한 전용 핸들러(260)를 포함할 수 있다. 핸들러(260)는 공정 모듈[제1 공정 모듈(210)과 제2 공정 모듈(220)]과 다중 소자 제조 시스템(240)의 사이에서 하나 이상의 기판을 반송하는 것을 전담하지만, 본 실시형태가 여기에 한정되지는 않는다.
또한, 반송 시스템(230)은 하나 이상의 기판 카세트(도시 생략)와의 사이에서 기판을 전달할 수도 있다. 도 8에는 2개의 공정 모듈만 도시하고 있지만, 에칭 시스템, 성막 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 디바이스를 포함하는 다른 공정 모듈이 반송 시스템(230) 또는 다중 소자 제조 시스템(240)에 액세스할 수 있다. 예로서, 성막 시스템은 하나 이상의 증착 시스템을 포함할 수 있으며, 그 각각은 기판 상에 유전체막을 성막하도록 구성되어 있으며, 그 유전체막은 다공성 유전체막, 무공성 유전체막, 저 유전율(로우 k) 막, 또는 울트라 로우 k막을 포함한다. 제1 및 제2 공정 모듈에서 이루어지는 공정을 절연시키기 위해, 절연 어셈블리(250)를 각 공정 모듈을 연결하는데 이용할 수 있다. 예를 들어, 절연 어셈블리(250)는 단열을 제공하기 위한 단열 어셈블리와, 진공 단열을 제공하기 위한 게이트 밸브 어셈블리 중 적어도 하나를 포함할 수 있다. 또한, 예컨대 반송 시스템(230)은 절연 어셈블리(250)의 부분으로서 기능할 수도 있다.
다른 실시형태에 따르면, 도 9는 복수의 기판(342)을 처리하기 위한 공정 플랫폼(300)의 평면도이다. 공정 플랫폼(300)은 기판 상의 유전체막을 처리하도록 구성될 수 있다.
공정 플랫폼(300)은 제1 반송 시스템(330)과 선택적인 제2 반송 시스템(330')에 연결되어 있는 제1 공정 모듈(310), 제2 공정 모듈(320), 및 선택적인 보조 공정 모듈(370)을 포함한다. 제1 공정 모듈(310)은 경화 시스템을 포함할 수 있고, 제2 공정 모듈(320)은 건조 시스템을 포함할 수 있다. 한편, 제1 공정 모듈(310)은 기판(342)을 UV 방사선에 노출시키도록 구성된 제1 경화 시스템을 포함할 수도 있고, 제2 공정 모듈(320)은 기판(342)을 IR 방사선에 노출시키도록 구성된 제2 경화 시스템을 포함할 수도 있다.
또한, 도 9에 도시하는 바와 같이, 제1 반송 시스템(330)과 선택적인 제2 반송 시스템(330')은 제1 공정 모듈(310)과 제2 공정 모듈(320)에 연결되어 있으며, 하나 이상의 기판(342)을 제1 공정 모듈(310)과 제2 공정 모듈(320)에 반입 반출하고 또한 다중 소자 제조 시스템(340)과의 사이에서 하나 이상의 기판(342)을 전달하도록 구성되어 있다. 다중 소자 제조 시스템(340)은 기판(342)의 카세트를 대기 조건과 저압 조건 사이에서 순환시키는 로드록부를 포함할 수 있다.
제1 및 제2 처리 시스템(310, 320)과, 제1 및 선택적인 제2 반송 시스템(330, 330')은 예컨대 다중 소자 제조 시스템(340)의 범위 안에서 공정부를 포함할 수 있다. 제1 반송 시스템(330)은 제1 전용 핸들러(360)를 포함할 수 있고, 선택적인 제2 반송 시스템(330')은 제1 공정 모듈(310), 제2 공정 모듈(320), 선택적인 보조 공정 모듈(370) 및 다중 소자 제조 시스템(340)의 사이에서 하나 이상의 기판(342)을 이동시키기 위한 선택적인 제2 전용 핸들러(360')를 포함한다.
일 실시형태에서는, 다중 소자 제조 시스템(340)은 에칭 시스템, 성막 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 디바이스를 포함하는 공정부에 대해 기판(342)의 반송을 허용할 수 있다. 또한, 다중 소자 제조 시스템(340)은 보조 공정 모듈(370)에 대해 기판(342)의 반송을 허용할 수 있으며, 이 보조 공정 모듈(370)은 에칭 시스템, 성막 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등을 포함할 수 있다. 예로서, 성막 시스템은 하나 이상의 증착 시스템을 포함할 수 있으며, 그 각각은 기판(342) 상에 유전체막을 성막하도록 구성되어 있으며, 그 유전체막은 다공성 유전체막, 무공성 유전체막, 저 유전율(로우 k)막, 또는 울트라 로우 k막을 포함한다.
제1 및 제2 공정 모듈에서 이루어지는 공정을 절연시키기 위해, 절연 어셈블리(350)를 각 시스템을 연결하는데 이용할 수 있다. 예를 들어, 절연 어세블리(350)는 단열을 제공하기 위한 단열 어셈블리와, 진공 단열을 제공하기 위한 게이트 밸브 어셈블리 중 적어도 하나를 포함할 수 있다. 물론, 공정 모듈(310, 320)과 반송 시스템(330, 330')은 임의의 순서로 배치될 수 있다.
이제 도 10을 참조하면, 다른 실시형태에 따른, 기판 상의 유전체막을 처리하도록 구성된 공정 모듈(400)이 도시되어 있다. 예로서, 공정 모듈(400)은 유전체막을 경화하도록 구성될 수 있다. 다른 예로서, 공정 모듈(400)은 유전체막을 세정하도록 구성될 수도 있다. 또 다른 예로서, 공정 모듈(400)은 유전체막에 대해 표면을 개질하도록 구성될 수도 있다. 공정 모듈(400)은 기판 홀더(420)에 수용된 기판(425)을 경화, 세정 및/또는 개질하기 위한 청정의 오염물질 없는 환경을 생성하도록 구성된 공정 챔버(410)를 포함한다. 공정 모듈(400)은 유전체막을 갖는 기판(425)을 EM 방사선에 노출시키도록 구성된 방사선 소스(440)를 더 포함한다.
EM 방사선은 특정 방사파 대역을 위한 것이며, 그 특정 방사파 대역 내에 단일, 다중, 협대역 또는 광대역의 EM 파장을 포함한다. 예컨대, 방사선 소스(440)는 IR 스펙트럼으로 EM 방사선을 생성하도록 구성된 IR 방사선 소스를 포함할 수 있다. 한편, 예컨대, 방사선 소스(440)는 UV 스펙트럼으로 EM 방사선을 생성하도록 구성된 UV 방사선 소스를 포함할 수도 있다. 본 실시형태에서는, 기판(425)의 IR 처리 및 UV 처리는 별개의 공정 모듈에서 수행될 수 있다.
IR 방사선 소스는 광대역의 IR 소스(예컨대, 백색광)를 포함할 수도 있고, 또는 협대역의 IR 소스(예컨대, 단색광)를 포함할 수도 있다. IR 방사선 소스는 하나 이상의 IR 램프, 하나 이상의 IR LED, 하나 이상의 IR 레이저[연속파(CW), 조정형 또는 펄스형], 또는 이들의 임의의 조합을 포함할 수 있다. IR 파워 밀도는 최대 약 20 W/㎠에 이를 수 있다. 예컨대, IR 파워 밀도의 범위는 약 1 W/㎠~약 20 W/㎠일 수 있다.
적용에 따라, IR 방사선 파장의 범위는 약 1 미크론~약 25 미크론일 수 있다. 한편, IR 방사선 파장의 범위는 약 8 미크론~약 14 미크론일 수도 있다. 또, IR 방사선 파장의 범위는 약 8 미크론~약 12 미크론일 수도 있다. 그리고, IR 방사선 파장의 범위는 약 9 미크론~약 10 미크론일 수도 있다. 예컨대, IR 방사선 소스는 CO2 레이저 시스템을 포함할 수 있다. 또한, 예컨대, IR 방사선 소스는 스펙트럼 출력 범위가 약 1 미크론~약 25 미크론인 세라믹 소자 또는 이산화실리콘 소자 등의 IR 소자를 포함할 수 있고, IR 방사선 소스는 반도체 레이저(다이오드), 또는 이온, Ti:사파이어, 또는 광학 파라메트릭 증폭 기능을 갖는 색소 레이저를 포함할 수 있다.
UV 방사선 소스는 광대역의 UV 소스(예컨대, 백색광)를 포함할 수 있고, 또는 협대역의 UV 소스(예컨대, 단색광)를 포함할 수도 있다. UV 방사선 소스는 하나 이상의 UV 램프, 하나 이상의 UV LED, 하나 이상의 UV 레이저[연속파(CW), 조정형 또는 펄스형], 또는 이들의 임의의 조합을 포함할 수 있다. UV 방사선은 예컨대 마이크로파 소스, 아크 방전, 유전체 장벽 방전, 또는 전자 충격 발생으로부터 생성될 수 있다. UV 파워 밀도의 범위는 약 0.1 mW/㎠~약 2000 mW/㎠일 수 있다.
적용에 따라, UV 파장의 범위는 약 100 ㎚(나노미터)~약 600 ㎚일 수 있다. 한편, UV 방사선 파장의 범위는 약 150 ㎚~약 400 ㎚일 수도 있다. 또, UV 방사선 파장의 범위는 약 150 ㎚~약 300 ㎚일 수도 있다. 그리고, UV 방사선 파장의 범위는 약 170 ㎚~약 240 ㎚일 수도 있다. 또한, UV 방사선 파장의 범위는 약 200 ㎚~약 350 ㎚일 수도 있다. 그리고, UV 방사선 파장의 범위는 약 200 ㎚~약 240 ㎚일 수도 있다. 예컨대, UV 방사선 소스는 스펙트럼 출력 범위가 약 180 ㎚~약 500 ㎚인, 듀테륨(D2) 램프 등의 직류(DC) 또는 펄스형 램프를 포함할 수 있거나, UV 방사선 소스는 반도체 레이저(다이오드), (질소)가스 레이저, 주파수 3배(또는 4배) Nd:YAG 레이저, 또는 구리 증기 레이저를 포함할 수 있다.
IR 방사선 소스나 UV 방사선 소스, 또는 이 양 소스는 출력 방사선의 하나 이상의 특성을 조정하기 위해 임의 개의 광학 디바이스를 포함할 수 있다. 예컨대, 각 소스는 광학 필터, 광학 렌즈, 빔 확장기, 빔 콜리메이터 등을 더 포함할 수 있다. 옵틱스 및 EM파 전파 분야의 종사자들에게 알려져 있는 광학 조작 디바이스가 본 발명에 적합할 수 있다.
기판 홀더(420)는 기판(425)의 온도를 상승 및/또는 제어하도록 구성될 수 있는 온도 제어 시스템을 더 포함할 수 있다. 온도 제어 시스템은 열처리 디바이스(430)의 일부일 수 있다. 기판 홀더(420)는 전원 및 온도 컨트롤러에 연결된 기판 홀더(420)에 내장된 하나 이상의 전도형 가열 소자를 포함할 수 있다. 예컨대, 각각의 가열 소자는 전력을 공급하도록 구성된 전원에 연결된 방열성 가열 소자를 포함할 수 있다. 기판 홀더(420)는 선택적으로 하나 이상의 방열성 가열 소자를 포함할 수 있다. 적용에 따라, 기판(425)의 온도의 범위는, 예컨대 약 20℃~약 600℃일 수 있으며, 바람직하게는 온도의 범위가 약 100℃~약 600℃일 수도 있다. 예컨대, 기판(425)의 온도의 범위는 약 300℃~약 500℃, 또는 300℃~약 450℃일 수도 있다. 한편, 기판(425)의 온도의 범위는 약 20℃~약 300℃, 또는 20℃~약 250℃일 수도 있다.
기판 홀더(420)는 그 기판 홀더(420)를 병진 또는 회전시키거나, 병진 및 회전시켜 방사선 소스(440)에 대하여 기판(425)을 이동시키도록 구성된 드라이브 시스템(435)을 더 포함할 수 있다.
또한, 기판 홀더(420)는 기판(425)을 클램핑하도록 구성될 수도 구성되지 않을 수도 있다. 예를 들어, 기판 홀더(420)는 기판(425)을 기계적으로 또는 전기적으로 클램핑하도록 구성될 수 있다.
도시하지는 않지만, 기판 홀더(420)는 복수의 기판을 지지하도록 구성될 수 있다.
다시 도 10을 참조하면, 공정 모듈(400)은 공정 챔버(410)에 연결되는 가스 주입 시스템(450)을 더 포함할 수 있는데, 이 가스 주입 시스템(450)은 기판(425)과 반응하거나 반응하지 않는 퍼지 가스 또는 공정 가스를 공정 챔버(410)에 도입하도록 구성되어 있다. 가스 주입 시스템(450)은 기판(425)을 향한 방향으로 제트축을 따라 가스 또는 증기 제트(454)를 생성하도록 구성된 가스 노즐(452)을 포함할 수 있다. 가스 또는 증기 제트(454)는 방사선 소스(440)로부터의 EM 방사선(442)과 동시에 및/또는 교차로 생성될 수 있다. 퍼지 가스 또는 공정 가스는 예컨대 희가스 또는 질소 등의 불활성 가스를 포함할 수 있다. 한편, 퍼지 가스는 상기 나열한 가스와 다른, 예컨대 O2, H2, NH3, CxHy, 또는 이들의 임의의 조합 등을 포함할 수도 있다. 또한, 공정 모듈(400)은 공정 챔버(410)에 연결되며 공정 챔버(410)를 배기시키도록 구성된 진공 펌핑 시스템(455)을 더 포함할 수 있다. 경화 공정 시에, 기판(425)은 진공 조건이 있거나 없는 퍼지 가스 환경에 있을 수 있다.
또한, 도 10에 도시하는 바와 같이, 공정 모듈(400)은 공정 챔버(410), 기판 홀더(420), 열처리 디바이스(430), 드라이브 시스템(435), 방사선 소스(440), 가스 주입 시스템(450), 및 진공 펌핑 시스템(455)에 연결된 컨트롤러(460)를 포함할 수 있다. 컨트롤러(460)는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함하며, 이 디지털 I/O 포트는 공정 모듈(400)로부터의 출력을 모니터할 뿐만 아니라 공정 모듈(400)에 입력을 전달하여 활성화하기에 충분한 제어 전압을 생성할 수 있다. 메모리에 저장된 프로그램은 저장된 공정 레시피에 따라 공정 모듈(400)과 상호 작용하는데 이용된다. 컨트롤러(460)는 임의 개의 공정부(410, 420, 430, 435, 440, 450 또는 455)를 구성하는데 이용될 수 있으며, 컨트롤러(460)는 이들 공정부로부터 데이터를 수집, 제공, 가공, 저장 및 표시할 수 있다. 컨트롤러(460)는 하나 이상의 공정부를 제어하기 위한 다수의 애플리케이션을 포함할 수 있다. 예컨대, 컨트롤러(460)는 사용자가 하나 이상의 공정부를 모니터 및/또는 제어할 수 있게 하는 인터페이스를 사용하기 쉽게 제공할 수 있는 그래픽 사용자 인터페이스(GUI) 구성요소(도시 생략)를 포함할 수 있다.
이제 도 11을 참조하면, 다른 실시형태에 따른, 기판 상의 유전체막을 처리하도록 구성된 공정 모듈(500)이 도시되어 있다. 예로서, 공정 모듈(500)은 유전체막을 경화하도록 구성될 수 있다. 다른 예로서, 공정 모듈(400)은 유전체막을 세정하도록 구성될 수 있다. 또 다른 에로서, 공정 모듈(400)은 유전체막에 대해 표면을 개질하도록 구성될 수 있다. 공정 모듈(500)은 도 10에 도시하는 요소와 동일한 다수의 요소를 포함한다. 공정 모듈(500)은 기판 홀더(420)에 수용된 기판(425)을 경화하기 위한 청정의 오염물질 없는 환경을 생성하도록 구성된 공정 챔버(410)를 포함한다. 공정 모듈(500)은 유전체막을 갖는 기판(425)을 EM 방사선의 제1 방사선 소스 그룹에 노출시키도록 구성된 제1 방사선 소스(540)를 포함한다.
공정 모듈(500)은 유전체막을 갖는 기판(425)을 EM 방사선의 제2 방사선 소스 그룹에 노출시키도록 구성된 제2 방사선 소스(545)를 더 포함한다. EM 방사선의 각 그룹은 특정 방사파 대역을 위한 것이며, 그 특정 방사파 대역 내에 단일, 다중, 협대역 또는 광대역의 EM 파장을 포함한다. 예컨대, 제1 방사선 소스(540)는 IR 스펙트럼으로 EM 방사선을 생성하도록 구성된 IR 방사선 소스를 포함할 수 있다. 한편, 예컨대, 제2 방사선 소스(545)는 UV 스펙트럼으로 EM 방사선을 생성하도록 구성된 UV 방사선 소스를 포함할 수도 있다. 본 실시형태에서는, 기판(425)의 IR 처리 및 UV 처리가 단일 공정 모듈에서 수행될 수 있다.
또한, 가스 또는 증기 제트(454)는 제1 방사선 소스(540)로부터의 제1 EM 방사선(542) 및/또는 제2 방사선 소스(545)로부터의 제2 EM 방사선(547)과 동시에 및/또는 교차로 생성될 수 있다.
또, 도 11에 도시하는 바와 같이, 공정 모듈(500)은 공정 챔버(410), 기판 홀더(420), 열처리 디바이스(430), 드라이브 시스템(435), 제1 방사선 소스(540), 제2 방사선 소스(545), 가스 주입 시스템(450), 및 진공 펌핑 시스템(455)에 연결된 컨트롤러(560)를 포함할 수 있다. 컨트롤러(560)는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함하며, 이 디지털 I/O 포트는 공정 모듈(500)로부터의 출력을 모니터할 뿐만 아니라 공정 모듈(500)에 입력을 전달하여 활성화하기에 충분한 제어 전압을 생성할 수 있다. 메모리에 저장된 프로그램은 저장된 공정 레시피에 따라 공정 모듈(500)과 상호 작용하는데 이용된다. 컨트롤러(560)는 임의 개의 공정부(410, 420, 430, 435, 540, 545, 450 또는 455)를 구성하는데 이용될 수 있으며, 컨트롤러(560)는 이들 공정부로부터 데이터를 수집, 제공, 가공, 저장 및 표시할 수 있다. 컨트롤러(560)는 하나 이상의 공정부를 제어하기 위한 다수의 애플리케이션을 포함할 수 있다. 예컨대, 컨트롤러(560)는 사용자가 하나 이상의 공정부를 모니터 및/또는 제어할 수 있게 하는 인터페이스를 사용하기 쉽게 제공할 수 있는 그래픽 사용자 인터페이스(GUI) 구성요소(도시 생략)를 포함할 수 있다.
EM 방사선 소스의 다양한 어셈블리 및 그 광학 시스템은, 2008년 9월 16일에 출원되어 미국 특허 출원 공개 번호 2010/0065758로 공개되어 있는 발명의 명칭이 "DIELECTRIC TREATMENT SYSTEM AND METHOD OF OPERATING"인 계류중인 미국 특허 출원 번호 12/211,598에서 찾을 수 있고 그 전체 내용은 참조에 의해 본 명세서에 원용된다.
이제 도 12를 참조하면, 실시형태에 따른 공정 모듈(1200)의 개략도가 도시되어 있다. 공정 모듈(1200)은 기판 홀더(1220) 상에 수용된 기판(1225)을 경화, 세정 및/또는 개질하기 위한 청정의 오염물질 없는 환경을 생성하도록 구성된 공정 챔버(1210)를 포함한다. 공정 모듈(1200)은 기판(1225)을 EM 방사선에 노출시키도록 구성된 방사선 소스(1230)를 더 포함한다.
방사선 소스(1230)는 UV 램프(1240)와, UV 램프(1240)로부터의 UV 방사선(1242)을 기판(1225)으로 지향시키기 위한 리플렉터(1250)를 포함한다. 한편, 방사선 소스(1230)는 IR 램프를 포함할 수도 있다. 리플렉터(1250)는 다이크로익 리플렉터(1254)와, UV 램프(1240)와 기판(1225) 사이에 배치되는 비흡광 리플렉터(1252)를 구비한다. 비흡광 리플렉터(1252)는 UV 램프(1240)로부터의 UV 방사선(1242)을 다이크로익 리플렉터(1254)를 향해 반사시키도록 구성되어 있으며, 이 비흡광 리플렉터는 실질적으로 기판(1225)에 대한 UV 램프(1240)로부터의 직접적인 UV 방사선(1244)을 막는다. 다이크로익 리플렉터(1254)는 UV 램프(1240)로부터 발광된 UV 방사선 스펙트럼의 적어도 일부를 선택하는데 이용될 수 있다. 예컨대, 방사선 소스(1230)는 다이크로익 코팅의 타입에 따라, 약 250 ㎚~약 450 ㎚, 약 200 ㎚~약 300 ㎚, 또는 약 200 ㎚~약 290 ㎚의 범위의 발광을 포함하는 UV 방사선을 기판(1225)에 조사하도록 구성될 수 있다.
다이크로익 코팅에서의 반사에 의한 필터링은 UV 램프로부터 직접 발광된 오리지널 정방향 광선에 대개 영향을 주지 않는다. 그 결과, 다이크로익 리플렉터를 이용하는 통상의 UV 램프는 원하는 파장 범위 바깥으로 여전히 상당량의 발광을 방출하여, 기판의 과열 및 무효한 포로겐 제거를 야기한다. 본 발명자들은 원하는 발광 스펙트럼을 얻기 위하여 다이크로익 코팅이 도포된 리플렉터에서의 제2 반사를 이용할 것을 제안한다.
일 실시형태에 있어서, 비흡광 리플렉터(1252)는 도 11에 도시하는 바와 같이 UV 램프(1240)와 분리되어 있다. 다른 실시형태에 있어서, 비흡광 리플렉터(1252)는 UV 램프(1240)의 하면에 도포된 코팅을 포함한다.
비흡광 리플렉터(1252)는 다이크로익 리플렉터(1254)의 오목한 반사면을 향하게 지향된 오목한 반사면을 포함할 수 있고, 비흡광 리플렉터(1252)는 다이크로익 리플렉터(1254)와 기판(1225) 사이에 위치할 수 있다. 또한, 비흡광 리플렉터(1252)의 오목한 반사면의 정점 및 포커스와, 다이크로익 리플렉터(1254)의 오목한 반사면의 정점 및 포커스는 동일선 상에 있을 수 있다. 더욱이, 비흡광 리플렉터(1252) 및/또는 다이크로익 리플렉터(1254)는 단면이 원형, 타원형, 포물선형 또는 쌍곡선형인 원통형 또는 구(球)형의 기하학적 구조를 포함할 수 있다. 비흡광 리플렉터(1252) 및/또는 다이크로익 리플렉터(1254)의 형상, 방위 및/또는 위치는 최선의 기판(1225) 조사를 제공하도록 조정될 수 있다.
공정 모듈(1200)은 리플렉터(1250)와 기판(1225) 사이에 배치된 UV 윈도우(1260)를 포함할 수 있다.
공정 모듈(1200)은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 제공하는 IR 소스 등의 IR 소스, 또는 IR 레이저를 더 포함할 수 있다. 또한, 공정 모듈(1200)은 기판 홀더(1220)에 연결되며 기판(1225)의 온도를 제어하도록 구성된 온도 제어 시스템을 더 포함할 수 있다. 또, 공정 모듈(1200)은 기판 홀더(1220)에 연결되며 그 기판 홀더(1220)를 병진 또는 회전시키거나, 병진 및 회전시키도록 구성된 드라이브 시스템(1212)을 더 포함할 수 있다. 또한, 공정 모듈(1200)은 공정 챔버(1210)에 연결되며 그 공정 챔버(1210)에 퍼지 가스 및/또는 공정 가스를 도입하도록 구성된 가스 공급 시스템을 더 포함할 수 있다. 예컨대, 가스 공급 시스템은 노즐을 포함할 수 있고, 이 노즐은 기판(1225)을 향한 방향으로 제트축을 따라 그 노즐로부터 분출되는 가스 또는 증기 제트를 생성하도록 구성되어 있다.
이제 도 13을 참조하면, 실시형태에 따른 공정 모듈(1300)의 개략도가 도시되어 있다. 공정 모듈(1300)은 기판 홀더(1320) 상에 수용된 기판(1325)을 경화, 세정 및/또는 개질하기 위한 청정의 오염물질 없는 환경을 생성하도록 구성된 공정 챔버(1310)를 포함한다. 공정 모듈(1300)은 기판(1325)을 EM 방사선에 노출시키도록 구성된 방사선 소스(1330)를 더 포함한다.
방사선 소스(1330)는 UV 램프(1340)와, UV 램프(1340)로부터 UV 방사선(1342)을 기판(1325)으로 지향시키기 위한 리플렉터(1350)를 포함한다. 한편, 방사선 소스(1330)는 IR 램프를 포함할 수도 있다. 리플렉터(1350)는 다이크로익 리플렉터(1354)와, UV 램프(1340)와 기판(1325) 사이에 배치되는 비흡광 리플렉터(1352)를 구비한다. 비흡광 리플렉터(1352)는 UV 램프(1340)로부터의 UV 방사선(1342)을 다이크로익 리플렉터(1354)를 향해 반사시키도록 구성되어 있으며, 비흡광 리플렉터(1352)는 실질적으로 기판(1325)에 대한 UV 램프(1340)로부터의 직접적인 UV 방사선(1344)을 막는다. 다이크로익 리플렉터(1354)는 UV 램프(1340)로부터 발광된 UV 방사선 스펙트럼의 적어도 일부를 선택하는데 이용될 수 있다. 예컨대, 방사선 소스(1330)는 다이크로익 코팅의 타입에 따라, 약 250 ㎚~약 450 ㎚, 약 200 ㎚~약 300 ㎚, 또는 약 200 ㎚~약 290 ㎚의 범위의 발광을 포함하는 UV 방사선을 기판(1325)에 조사하도록 구성될 수 있다. 다이크로익 코팅은 하나 이상의 유전체층을 포함할 수 있다.
도 13에 도시하는 바와 같이, 다이크로익 리플렉터(1354)는 기판(1325)과 평행한 제1 평면(1361)에 배열되며 기판(1325) 위에 위치하는 복수의 다이크로익 반사 소자를 포함하고, 비흡광 리플렉터(1352)은 기판(1325)과 평행한 제2 평면(1362)에 배열되며 기판(1325) 위에 그리고 제1 평면(1361) 아래에 위치하는 복수의 비흡광 반사 소자를 포함한다. 또한, 복수의 비흡광 반사 소자와 복수의 다이크로익 반사 소자는 그 복수의 비흡광 반사 소자 각각과 그 복수의 다이크로익 반사 소자 각각의 사이에 일대일 관계가 존재하도록 쌍으로서 배열되어 있다.
비흡광 리플렉터(1352)는 다이크로익 리플렉터(1354)의 오목한 반사면을 향하게 지향된 오목한 반사면을 포함할 수 있고, 비흡광 리플렉터(1352)는 다이크로익 리플렉터(1354)와 기판(1325) 사이에 위치할 수 있다. 공정 모듈(1300)은 리플렉터(1350)와 UV 램프(1340) 사이에 배치된 UV 윈도우(1360)를 포함할 수 있다.
비흡광 리플렉터(1352) 및/또는 다이크로익 리플렉터(1354)의 형상, 방위 및/또는 위치는 최선의 기판(1325) 조사를 제공하도록 조정될 수 있다.
공정 모듈(1300)은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 제공하는 IR 소스 등의 IR 소스, 또는 IR 레이저를 더 포함할 수 있다. 또한, 공정 모듈(1300)은 기판 홀더(1320)에 연결되며 기판(1325)의 온도를 제어하도록 구성된 온도 제어 시스템을 더 포함할 수 있다. 또, 공정 모듈(1300)은 기판 홀더(1320)에 연결되며 그 기판 홀더(1320)를 병진 또는 회전시키거나, 병진 및 회전시키도록 구성된 드라이브 시스템(1312)을 더 포함할 수 있다. 또한, 공정 모듈(1300)은 공정 챔버(1310)에 연결되며 그 공정 챔버(1310)에 퍼지 가스 및/또는 공정 가스를 도입하도록 구성된 가스 공급 시스템을 더 포함할 수 있다. 예컨대, 가스 공급 시스템은 노즐을 포함할 수 있고, 이 노즐은 기판(1325)을 향한 방향으로 제트축을 따라 그 노즐로부터 분출되는 가스 또는 증기 제트를 생성하도록 구성되어 있다.
이상에서는 본 발명의 소정의 예시적인 실시형태에 대해서만 상세하게 설명하였지만, 당업자라면 본 발명의 신규한 교시 및 장점에서 실질적으로 벗어나지 않으면서 그 예시적인 실시형태에 대해 다수의 변형이 가능하다는 것을 쉽게 이해할 것이다. 따라서, 그러한 모든 변형은 본 발명의 범위 내에 포함되는 것이다.

Claims (60)

  1. 기판 상에 유전체막을 집적하는 방법에 있어서,
    기판 상에 유전체막을 준비하는 단계로서, 상기 유전체막은 유전율 값이 약 4 이하인 로우 k(low-k) 유전체막인 것인 유전체막 준비 단계와,
    상기 유전체막에 예비 경화 공정을 수행하는 단계와,
    리소그래피 공정과 에칭 공정을 이용하여 상기 유전체막에 패턴을 형성하는 단계와,
    상기 기판으로부터 원하지 않는 잔여물을 제거하는 단계와,
    상기 유전체막에 최종 경화 공정을 수행하는 단계
    를 포함하고, 상기 최종 경화 공정은 상기 기판에 자외(UV) 방사선을 조사하는 단계를 포함하는 것인 유전체막 집적 방법.
  2. 제1항에 있어서, 상기 예비 경화 공정은 제1 기판 온도에서 수행되고, 상기 최종 경화 공정은 상기 제1 기판 온도보다 높은 제2 기판 온도에서 수행되는 것인 유전체막 집적 방법.
  3. 제1항에 있어서, 상기 예비 경화 공정을 수행하는 단계는,
    상기 기판에 UV 방사선을 조사하는 단계와,
    상기 기판에 적외(IR) 방사선을 조사하는 단계와,
    상기 기판과 접해 있는 기판 홀더의 온도를 높임으로써 상기 기판을 가열하는 단계와,
    이들 단계 중 임의의 2가지 이상의 조합을 수행하는 단계
    중 하나 이상을 임의의 순서로 수행하는 단계를 포함하는 것인 유전체막 집적 방법.
  4. 제3항에 있어서, 상기 UV 방사선은 약 200 ㎚(나노미터)와 약 350 ㎚ 사이의 범위의 UV 발광을 포함하는 것인 유전체막 집적 방법.
  5. 제3항에 있어서, 상기 IR 방사선은 약 8 미크론과 약 12 미크론 사이의 범위의 IR 발광을 포함하는 것인 유전체막 집적 방법.
  6. 제1항에 있어서, 상기 최종 경화 공정을 수행하는 단계는,
    상기 기판에 UV 방사선을 조사하는 단계와,
    상기 기판에 IR 방사선을 조사하는 단계와,
    상기 기판과 접해 있는 기판 홀더의 온도를 높임으로써 상기 기판을 가열하는 단계와,
    이들 단계 중 임의의 2가지 이상의 조합을 수행하는 단계
    중 하나 이상을 임의의 순서로 수행하는 단계를 포함하는 것인 유전체막 집적 방법.
  7. 제6항에 있어서, 상기 UV 방사선은 약 200 ㎚(나노미터)과 약 350 ㎚ 사이의 범위의 UV 발광을 포함하는 것인 유전체막 집적 방법.
  8. 제6항에 있어서, 상기 IR 방사선은 약 8 미크론과 약 12 미크론 사이의 범위의 IR 발광을 포함하는 것인 유전체막 집적 방법.
  9. 제6항에 있어서, 상기 최종 경화 공정 동안 기판 온도를 약 300℃와 약 450℃ 사이에 유지하는 단계를 더 포함하는 유전체막 집적 방법.
  10. 제1항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계는, 애싱 공정이나 습식 세정 공정을, 또는 이 양 공정을 수행하는 단계를 포함하는 것인 유전체막 집적 방법.
  11. 제1항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계는, 상기 유전체막에 상기 패턴을 포함하는 상기 기판에 IR 방사선과 선택적인 UV 방사선을 조사하는 단계를 포함하는 것인 유전체막 집적 방법.
  12. 제11항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계는, 상기 기판을 향한 방향으로 제트축을 따라 노즐로부터 분출되는 가스 또는 증기 제트에 상기 기판을 노출시키는 단계를 더 포함하는 것인 유전체막 집적 방법.
  13. 제12항에 있어서, 상기 IR 방사선은 상기 기판 상에 상기 제트축과 교차하여 빔 스폿을 형성하는 IR 방사선의 빔을 포함하는 것인 유전체막 집적 방법.
  14. 제1항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계는, 기판 온도를 약 20℃와 약 250℃ 사이의 온도로 유지하는 단계를 더 포함하는 것인 유전체막 집적 방법.
  15. 제1항에 있어서, 상기 원하지 않는 잔여물은, 표면 흡착물질, 미립자, 습기, 에칭 잔여물, 원하지 않는 탄소 함유 잔여물, 비정질 탄소 함유 잔여물, 탄화수소 함유 잔여물, 탄화플루오르 함유 잔여물, 할로겐 함유 잔여물, 폴리머 함유 잔여물, 또는 이들 중 임의의 2가지 이상의 조합을 포함하는 것인 유전체막 집적 방법.
  16. 제1항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계 이후에 그리고 상기 최종 경화 공정을 수행하는 단계 이전에 실릴화(silylation) 공정을 수행하는 단계를 더 포함하는 유전체막 집적 방법.
  17. 제16항에 있어서, 상기 실릴화 공정은 상기 기판에 UV 방사선을 조사하는 단계를 더 포함하는 것인 유전체막 집적 방법.
  18. 제16항에 있어서, 상기 실릴화 공정은 실란 화합물, 실라잔 화합물, HMDS, TMCS, 또는 이들 중 임의의 2가지 이상의 조합을 도입하는 단계를 포함하는 것인 유전체막 집적 방법.
  19. 제16항에 있어서, 상기 실릴화 공정은 기판 온도를 약 200℃와 약 400℃ 사이에 유지하는 단계를 포함하는 것인 유전체막 집적 방법.
  20. 제1항에 있어서, 상기 원하지 않는 잔여물을 제거하는 단계 이후에 그리고 상기 최종 경화 공정을 수행하는 단계 이전에, 상기 유전체막을 탈수시키는 단계를 더 포함하는 유전체막 집적 방법.
  21. 기판을 세정하는 방법에 있어서,
    하나 이상의 층 또는 구조물을 포함하는 기판 상의 영역에 적외(IR) 방사선과 선택적인 자외(UV) 방사선을 조사하여 상기 하나 이상의 층 또는 구조물로부터 물질 또는 원하지 않는 잔여물을 제거하는 조사 단계
    를 포함하는 기판 세정 방법.
  22. 제21항에 있어서, 상기 기판을 향한 방향으로 제트축을 따라 가스 노즐로부터 분출되는 가스 또는 증기 제트에 상기 영역의 적어도 일부를 노출시키는 노출 단계를 더 포함하는 기판 세정 방법.
  23. 제22항에 있어서, 상기 가스 또는 증기 제트는 상기 영역의 적어도 일부와 반응하도록 선택되는 것인 기판 세정 방법.
  24. 제22항에 있어서, 상기 가스 또는 증기 제트는 He, Ne, Ar, Kr, Xe, N2, H2, NH3, CO, CO2, O2, 또는 이들 중 임의의 2가지 이상의 조합을 포함하는 것인 기판 세정 방법.
  25. 제22항에 있어서, 상기 IR 방사선은 상기 기판 상에 빔 스폿을 갖는 IR 빔을 포함하고 상기 IR 빔은 상기 빔 스폿에서 상기 제트축과 교차하는 것인 기판 세정 방법.
  26. 제22항에 있어서, 상기 노출 단계는 상기 조사 단계 이후이거나, 상기 노출 단계는 상기 조사 단계와 동시인 것인 기판 세정 방법.
  27. 제21항에 있어서, 상기 하나 이상의 층 또는 구조물은, 패터닝된 마스크층과 에칭 공정을 이용하여 형성된 패터닝된 구조물을 포함하는 것인 기판 세정 방법.
  28. 제21항에 있어서, 상기 하나 이상의 층 또는 구조물은 로우 k층, 울트라 로우 k층, 포토레지스트층, 반사 방지 코팅(ARC)층, 유기 평탄화층(OPL), 소프트 마스크층, 하드 마스크층, 또는 이들 중 임의의 2가지 이상의 조합을 포함하는 것인 기판 세정 방법.
  29. 제21항에 있어서, 상기 조사 단계는, 상기 선택적인 UV 방사선과 동시, 상기 선택적인 UV 방사선이 선행, 상기 선택적인 UV 방사선이 후행, 또는 이들 중 임의의 2가지 이상의 조합으로 IR 방사선을 조사하는 단계를 포함하는 것인 기판 세정 방법.
  30. 제21항에 있어서, 상기 IR 방사선은 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 포함하는 것인 기판 세정 방법.
  31. 제21항에 있어서, 상기 IR 방사선은 IR 레이저를 포함하는 것인 기판 세정 방법.
  32. 제21항에 있어서, 상기 IR 방사선에 대한 스펙트럼 콘텐츠를 선택하는 단계를 더 포함하고,
    상기 스펙트럼 콘텐츠는 상기 하나 이상의 층 또는 구조물의 잔여부의 적어도 일부, 또는 제거될 상기 물질 또는 원하지 않는 잔여물의 적어도 일부에 흡수시키도록 선택되는 것인 기판 세정 방법.
  33. 제21항에 있어서, 상기 IR 방사선은 약 8 미크론과 약 12 미크론 사이의 범위의 IR 발광을 포함하는 것인 기판 세정 방법.
  34. 제21항에 있어서, 기판 온도를 약 20℃와 약 250℃ 사이의 온도로 유지하는 단계를 더 포함하는 기판 세정 방법.
  35. 제21항에 있어서, 상기 선택적인 UV 방사선은 약 200 ㎚(나노미터)와 약 350 ㎚ 사이의 범위의 UV 발광을 포함하는 것인 기판 세정 방법.
  36. 기판을 처리하기 위한 공정 모듈에 있어서,
    공정 챔버와,
    상기 공정 챔버에 연결되며 기판을 지지하도록 구성되는 기판 홀더와,
    상기 공정 챔버에 연결되며 상기 기판을 전자기(EM) 방사선에 노출시키도록 구성되고, 상기 기판 상에 빔 스폿을 갖는 적외(IR) 빔을 생성하도록 배열되는 IR 소스를 포함하는 방사선 소스와,
    상기 공정 챔버에 연결된 가스 노즐을 구비하는 가스 주입 시스템으로서, 상기 가스 노즐은 상기 기판을 향하는 방향으로 제트축을 따라 그 가스 노즐로부터 분출되며 상기 빔 스폿과 교차하는 가스 또는 증기 제트를 생성하도록 구성되는 것인 가스 주입 시스템
    을 포함하는 공정 모듈.
  37. 제36항에 있어서, 상기 방사선 소스는 자외(UV) 방사선 소스를 더 포함하는 것인 공정 모듈.
  38. 제36항에 있어서, 상기 방사선 소스는 IR 레이저를 포함하는 것인 공정 모듈.
  39. 제36항에 있어서, 상기 공정 챔버에 연결되며 상기 기판을 가로질러 상기 IR 빔을 주사하도록 구성되는 방사선 주사 장치를 더 포함하는 공정 모듈.
  40. 제36항에 있어서, 상기 기판 홀더에 연결되며 상기 기판의 온도를 제어하도록 구성되는 온도 제어 시스템을 더 포함하는 공정 모듈.
  41. 기판 상의 유전체막을 처리하기 위한 공정 모듈에 있어서,
    공정 챔버와,
    상기 공정 챔버에 연결되며 기판을 지지하도록 구성되는 기판 홀더와,
    상기 공정 챔버에 연결되며 상기 유전체막을 전자기(EM) 방사선에 노출시키도록 구성되고, 자외(UV) 소스를 포함하는 방사선 소스
    를 포함하고,
    상기 UV 소스는,
    UV 램프와,
    반사된 UV 방사선을 상기 UV 램프로부터 상기 기판으로 지향시키기 위한 리플렉터
    를 포함하고,
    상기 리플렉터는, 다이크로익 리플렉터와, 상기 UV 램프와 상기 기판 사이에 배치되며, 상기 UV 램프로부터의 UV 방사선을 상기 다이크로익 리플렉터를 향해 반사시키도록 구성되는 비흡광 리플렉터를 구비하며, 상기 비흡광 리플렉터는 실질적으로 상기 기판에 대한 상기 UV 램프로부터의 직접적인 UV 방사선을 막는 것인 공정 모듈.
  42. 제41항에 있어서, 상기 기판은 약 200 ㎚(나노미터)와 약 290 ㎚ 사이의 범위의 발광 파장을 포함하는 상기 반사된 UV 방사선에 노출되는 것인 공정 모듈.
  43. 제41항에 있어서, 상기 비흡광 리플렉터는 상기 UV 램프와 분리되어 있는 것인 공정 모듈.
  44. 제41항에 있어서, 상기 비흡광 리플렉터는 상기 UV 램프의 하면에 도포된 코팅을 포함하는 것인 공정 모듈.
  45. 제41항에 있어서, 상기 비흡광 리플렉터는 상기 다이크로익 리플렉터의 적어도 하나의 오목한 반사면을 향해 지향된 오목한 반사면을 포함하는 것인 공정 모듈.
  46. 제45항에 있어서, 상기 비흡광 리플렉터는 상기 다이크로익 리플렉터와 상기 기판 사이에 위치하는 것인 공정 모듈.
  47. 제45항에 있어서, 상기 비흡광 리플렉터의 상기 오목한 반사면의 제1 정점 및 제1 포커스와, 상기 다이크로익 리플렉터의 상기 오목한 반사면의 제2 정점 및 제2 포커스는 동일선 상에 있는 것인 공정 모듈.
  48. 제41항에 있어서, 상기 다이크로익 리플렉터는 단면이 원형, 타원형, 포물선형 또는 쌍곡선형인 원통형 또는 구(球)형의 기하학적 구조를 포함하는 것인 공정 모듈.
  49. 제41항에 있어서, 상기 비흡광 리플렉터는 단면이 원형, 타원형, 포물선형, 또는 쌍곡선형인 원통형 또는 구형의 기하학적 구조를 포함하는 것인 공정 모듈.
  50. 제41항에 있어서, 상기 다이크로익 리플렉터는, 상기 기판과 평행한 제1 평면에 배열되며 상기 기판 위에 위치하는 복수의 다이크로익 반사 소자를 포함하고, 상기 비흡광 리플렉터는, 상기 기판과 평행한 제2 평면에 배열되며 상기 기판 위에 그리고 상기 제1 평면 아래에 위치하는 복수의 비흡광 반사 소자를 포함하는 것인 공정 모듈.
  51. 제50항에 있어서, 상기 복수의 비흡광 반사 소자와 상기 복수의 다이크로익 반사 소자는 쌍으로서 배열되며, 상기 복수의 비흡광 반사 소자 각각과 상기 복수의 다이크로익 반사 소자 각각의 사이에 일대일 관계가 존재하는 것인 공정 모듈.
  52. 제41항에 있어서, 상기 방사선 소스는 상기 리플렉터와 상기 기판 사이에 배치된 UV 윈도우를 더 포함하는 것인 공정 모듈.
  53. 제41항에 있어서, 상기 방사선 소스는 상기 리플렉터와 상기 UV 램프 사이에 배치된 UV 윈도우를 더 포함하는 것인 공정 모듈.
  54. 제41항에 있어서, 상기 방사선 소스는 적외(IR) 소스를 더 포함하는 것인 공정 모듈.
  55. 제54항에 있어서, 상기 IR 소스는 협대역의 파장을 갖는 실질적으로 단색광의 전자기(EM) 방사선을 제공하는 것인 공정 모듈.
  56. 제54항에 있어서, 상기 IR 소스는 IR 레이저를 포함하는 것인 공정 모듈.
  57. 제41항에 있어서, 상기 기판 홀더에 연결되며 상기 기판의 온도를 제어하도록 구성되는 온도 제어 시스템을 더 포함하는 공정 모듈.
  58. 제41항에 있어서. 상기 기판 홀더에 연결되며 상기 기판 홀더를 병진 또는 회전시키거나 병진 및 회전시키도록 구성되는 드라이브 시스템을 더 포함하는 공정 모듈.
  59. 제41항에 있어서, 상기 공정 챔버에 연결되며 상기 공정 챔버에 공정 가스를 도입하도록 구성되는 가스 공급 시스템을 더 포함하는 공정 모듈.
  60. 제59항에 있어서, 상기 가스 공급 시스템은 노즐을 포함하며, 상기 노즐은 상기 기판을 향한 방향으로 제트축을 따라 그 노즐로부터 분출되는 가스 또는 증기 제트를 생성하도록 구성되는 것인 공정 모듈.
KR1020127027107A 2010-03-29 2011-03-28 로우 k 유전체의 집적 방법 KR20130014554A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US31871910P 2010-03-29 2010-03-29
US61/318,719 2010-03-29
US13/072,668 US8242460B2 (en) 2010-03-29 2011-03-25 Ultraviolet treatment apparatus
US13/072,662 US9017933B2 (en) 2010-03-29 2011-03-25 Method for integrating low-k dielectrics
US13/072,663 2011-03-25
US13/072,663 US20110232677A1 (en) 2010-03-29 2011-03-25 Method for cleaning low-k dielectrics
US13/072,662 2011-03-25
US13/072,668 2011-03-25
PCT/US2011/030133 WO2011123373A1 (en) 2010-03-29 2011-03-28 Method for integrating low-k dielectrics

Publications (1)

Publication Number Publication Date
KR20130014554A true KR20130014554A (ko) 2013-02-07

Family

ID=44654944

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127027107A KR20130014554A (ko) 2010-03-29 2011-03-28 로우 k 유전체의 집적 방법

Country Status (5)

Country Link
US (3) US20110232677A1 (ko)
JP (2) JP2013528928A (ko)
KR (1) KR20130014554A (ko)
TW (1) TWI464805B (ko)
WO (1) WO2011123373A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190110679A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8916054B2 (en) 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101368818B1 (ko) * 2012-05-03 2014-03-04 에이피시스템 주식회사 기판 처리 장치
TWI581331B (zh) 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9029835B2 (en) * 2012-12-20 2015-05-12 Intel Corporation Epitaxial film on nanoscale structure
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN109390214B (zh) * 2013-08-21 2023-03-07 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103962346B (zh) * 2014-05-21 2016-08-24 深圳市华星光电技术有限公司 可调整紫外光照射能量的紫外光清洗基板的方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR20170056986A (ko) * 2015-11-16 2017-05-24 (주)쎄미시스코 연기 제거 광 소결장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102342848B1 (ko) * 2017-04-21 2021-12-23 삼성전자주식회사 레이저 어닐링 장비
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10583428B2 (en) 2017-05-18 2020-03-10 GM Global Technology Operations LLC Self-cleaning film system and method of forming same
US10754067B2 (en) 2017-05-18 2020-08-25 GM Global Technology Operations LLC Textured self-cleaning film system and method of forming same
US10556231B2 (en) 2017-05-18 2020-02-11 GM Global Technology Operations LLC Self-cleaning film system and method of forming same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10429641B2 (en) 2017-05-31 2019-10-01 GM Global Technology Operations LLC Light-enhanced self-cleaning film system and method of forming same
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024968A (en) * 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
JPH03125488A (ja) * 1989-10-11 1991-05-28 Oki Electric Ind Co Ltd 半導体発光素子およびその製造方法
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
JPH0912305A (ja) * 1995-06-26 1997-01-14 Murata Mfg Co Ltd ペロブスカイト構造を有する金属酸化物膜の製造方法、及び薄膜コンデンサの製造方法
US6413883B1 (en) * 1996-03-04 2002-07-02 Symetrix Corporation Method of liquid deposition by selection of liquid viscosity and other precursor properties
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW466636B (en) * 1998-01-20 2001-12-01 Allied Signal Inc Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6136729A (en) * 1998-08-12 2000-10-24 Advanced Micro Devices, Inc. Method for improving semiconductor dielectrics
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
JP2000306271A (ja) * 1999-04-22 2000-11-02 Sony Corp 光学記録媒体およびその製造方法
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP3769426B2 (ja) * 1999-09-22 2006-04-26 東京エレクトロン株式会社 絶縁膜形成装置
US6457478B1 (en) 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
JP2001160548A (ja) * 1999-12-01 2001-06-12 Nec Corp 半導体装置製造方法および半導体装置製造システム
JP2001214127A (ja) 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
KR100841597B1 (ko) 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6689218B2 (en) 2001-10-23 2004-02-10 General Electric Company Systems for the deposition and curing of coating compositions
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
KR100819226B1 (ko) * 2002-02-27 2008-04-02 히다치 가세고교 가부시끼가이샤 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003303799A (ja) * 2002-04-10 2003-10-24 Sony Corp 表面洗浄装置および表面洗浄方法
KR20030093721A (ko) * 2002-06-05 2003-12-11 삼성전자주식회사 금속간 절연막의 패턴을 형성하는 방법
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6649921B1 (en) * 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
KR100541179B1 (ko) * 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004311958A (ja) * 2003-03-26 2004-11-04 Seiko Epson Corp 表面処理方法、表面処理装置、表面処理基板及び電気光学装置並びに電子機器
US6846748B2 (en) * 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
TWI238026B (en) * 2003-12-19 2005-08-11 Ind Tech Res Inst Structure and fabricating method of a high-dielectric film formed on an organic substrate
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7291550B2 (en) * 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4364669B2 (ja) * 2004-02-20 2009-11-18 富士通マイクロエレクトロニクス株式会社 ドライエッチング方法
US6962871B2 (en) 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US20050284568A1 (en) * 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7166963B2 (en) 2004-09-10 2007-01-23 Axcelis Technologies, Inc. Electrodeless lamp for emitting ultraviolet and/or vacuum ultraviolet radiation
US7081638B1 (en) * 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060165904A1 (en) * 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
EP1941539A1 (en) * 2005-06-03 2008-07-09 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7893703B2 (en) 2005-08-19 2011-02-22 Kla-Tencor Technologies Corp. Systems and methods for controlling deposition of a charge on a wafer for measurement of one or more electrical properties of the wafer
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7405168B2 (en) 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20070264786A1 (en) * 2006-05-11 2007-11-15 Neng-Kuo Chen Method of manufacturing metal oxide semiconductor transistor
JP5030478B2 (ja) * 2006-06-02 2012-09-19 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
JP5076452B2 (ja) * 2006-11-13 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4800235B2 (ja) * 2007-02-14 2011-10-26 東京エレクトロン株式会社 処理方法
JP4922858B2 (ja) * 2007-07-30 2012-04-25 株式会社東芝 パターン形成方法及び洗浄装置
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
JP2009194072A (ja) * 2008-02-13 2009-08-27 Toshiba Corp 半導体装置の製造方法
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
CN101960556B (zh) * 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
TW201030469A (en) * 2008-12-25 2010-08-16 Jsr Corp Negative-tone radiation-sensitive composition, cured pattern forming method, and cured pattern
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190110679A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법

Also Published As

Publication number Publication date
TWI464805B (zh) 2014-12-11
US8242460B2 (en) 2012-08-14
JP2016167633A (ja) 2016-09-15
TW201203362A (en) 2012-01-16
US20110233430A1 (en) 2011-09-29
US9017933B2 (en) 2015-04-28
US20110237080A1 (en) 2011-09-29
WO2011123373A1 (en) 2011-10-06
JP2013528928A (ja) 2013-07-11
US20110232677A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
KR20130014554A (ko) 로우 k 유전체의 집적 방법
TWI431689B (zh) 介電膜之硬化方法
US8895942B2 (en) Dielectric treatment module using scanning IR radiation source
US9443725B2 (en) Multi-step system and method for curing a dielectric film
TWI421939B (zh) 多孔性低介電常數介電膜之硬化方法
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
US20100065758A1 (en) Dielectric material treatment system and method of operating
WO2008030663A1 (en) Thermal processing system for curing dielectric films
KR101690804B1 (ko) 유전체 재료 처리 시스템 및 작동 방법
US20100068897A1 (en) Dielectric treatment platform for dielectric film deposition and curing
KR101653907B1 (ko) 경화 다공질 유전체로부터 다시 충전되는 기공 충전제를 제거하는 방법
US20100067886A1 (en) Ir laser optics system for dielectric treatment module
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application