JP2013528928A - 低誘電率絶縁体を統合するための方法 - Google Patents

低誘電率絶縁体を統合するための方法 Download PDF

Info

Publication number
JP2013528928A
JP2013528928A JP2013502689A JP2013502689A JP2013528928A JP 2013528928 A JP2013528928 A JP 2013528928A JP 2013502689 A JP2013502689 A JP 2013502689A JP 2013502689 A JP2013502689 A JP 2013502689A JP 2013528928 A JP2013528928 A JP 2013528928A
Authority
JP
Japan
Prior art keywords
substrate
radiation
processing module
dielectric film
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013502689A
Other languages
English (en)
Inventor
リウ,ジュンジュン
アイ トマ,ドレル
ユエ,ホンギュ
ファゲ,ジャック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2013528928A publication Critical patent/JP2013528928A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/145Infrared

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板上の誘電体膜を処理する方法、low−k誘電体膜を続いて形成される金属配線と統合するための方法が記載される。前記方法は、基板上に約4以下の誘電率の値を持つ誘電体膜を調製することを含む。その後、前記方法はさらに、前記誘電体膜上を予備硬化処理し、リソグラフィー及びエッチング処理を用いて前記誘電体膜にパターンを形成し、前記基板から望ましくない残渣を除去し、及び前記誘電体膜を最終硬化させることを含み、前記最終硬化処理が前記基板を紫外線(UV)放射で照射することを含む。

Description

本発明は、低誘電率(low−k)誘電体膜の製造、前記low−k誘電体膜のパターン化及び前記low−k誘電体膜を続いて形成される金属配線と統合する方法に関する。本出願は、2010年3月29日出願の米国仮特許出願第61/318719に基づき、37CFR1.78(a)(4)に従い優先権を主張する。前記出願の全内容は参照されて本明細書に援用される。
半導体技術の当業者に知られているように、配線遅延は集積回路(IC)の速度及び性能を改善するための試みを制限する1つの大きな要因である。配線遅延を最小化する1つの方法は、前記IC装置の金属配線のための絶縁誘電体としての低誘電率(low−k)材料を用いることで配線容量を低減させることである。従って、最近、low−k材料が、シリコン酸化物などの比較的高い誘電率絶縁材料と置き換えるために開発されてきた。具体的には、low−k膜が、半導体装置での層内レベル及び層間レベルの金属配線間で利用されている。さらに、絶縁材料の誘電率をさらに低減させるために、ポア(孔)を持たせた材料膜、即ち多孔性low−k誘電体膜が形成されている。かかるlow−k膜は、フォトレジストの応用と類似のスピンオン誘電体(SOD)方法、又は化学蒸着堆積(CVD)で堆積され得る。従って、前記low−k材料の使用は、既存の半導体製造プロセスへ容易に適合され得る。
low−k材料は、従来のシリコン酸化物よりも丈夫ではなく、その機械的強度は多孔性を導入することでさらに悪化する。前記多孔性low−k膜は、プラズマ処理の間に容易に損傷を受け得ることから、機械的強化処理することが望ましい。理解されるべきことは、多孔性low−k誘電体の材料強度は、それらの統合化の成功のためには本質的である、ということである。機械的強化を目的として、他の硬化技術が開発されており、これは多孔性low−k膜をより丈夫にして統合化に適したものとする。
ポリマーの硬化は、例えばスピンオン又は蒸着堆積(化学蒸着、CDVなど)技術を用いて堆積された薄膜を、前記膜内でクロスリンクを起こさせるための処理を含む。前記硬化プロセスの間、フリーラジカル重合がクロスリンクの主反応経路であると理解されている。ポリマーがクロスリンクされるに従い、ヤング弾性率、膜硬度、破壊靭性及び境界面接着性などの機械的性質が改善され、それにより前記low−k膜の製造上の丈夫さを改善する。
超低誘電率を持つ多孔性誘電体膜を形成する種々の方法があるが、堆積後処理(硬化)の目的は、膜により異なり、例えば水分除去、溶媒除去、多孔性誘電体膜内の孔を形成するためのポロゲン焼失、かかる膜の機械的性質の改善などである。
低誘電率(low−k)材料は従来は、CVD膜では300℃から400℃で熱硬化される。いくつかの場合には、加熱炉硬化が、約2.5より大きい誘電率を持つ、強靭な高密度のlow−k膜を製造するために十分であった。しかしながら、高レベル多孔度を持つ多孔性誘電体膜(例えば超low−k膜)を処理する際、熱処理により達成され得るクロスリンクの程度は、丈夫な配線構造のために適切な強度の膜を製造するために十分ではない。
熱硬化の間、適切な量のエネルギーが、前記誘電体膜を損傷することなく前記誘電体膜へ与えられる。対象となる温度範囲内では、しかしほんの少量のフリーラジカルのみ生成し得る。実際には熱と前記基板との結合での熱損失及び環境への熱損失により、熱エネルギーのほんの少量のみが熱硬化により硬化される前記low−k膜に吸収される。従って、通常のlow−kの加熱炉硬化のためには、高温度かつ長時間の硬化が必要である。しかし高い熱消費を用いても、堆積されたままのlow−k膜中で熱硬化における開始剤生成がないこと及び多量のメチル末端が存在することは、望ましい程度のクロスリンクを達成することを非常に困難にしている。
本発明は、基板上の誘電体膜を処理する方法及びシステムに関し、具体的には、low−k誘電体膜を続いて形成される金属配線へ統合化するための方法に関する。本発明はさらに、low−k膜をクリーニングする方法に関する。
1つの実施態様によれば、基板上に誘電体膜を統合化する方法が記載される。前記方法は、基板上に誘電体膜を形成することを含み、前記誘電体膜は4以下の誘電率の値を持つlow−k誘電体膜である。前記方法はさらに、前記誘電体膜に予備硬化処理を実施し、前記誘電体膜にリソグラフィー処理及びエッチング処理を用いてパターンを形成し、前記基板から望ましくない残渣を除去し、及び前記誘電体膜を最終硬化処理を実施することを含み、前記最終硬化処理が、前記基板を紫外線(UV)放射で照射することを含む。
他の実施態様によれば、基板上の誘電体膜をクリーニングする方法が記載される。前記方法は、1又はそれ以上の層又は構造を含む基板上の領域を、赤外線(IR)放射及び選択的に紫外線(UV)放射で照射して、前記1又はそれ以上の層又は構造から材料や望ましくない残渣を除去することを含む。前記方法は、選択的に、前記領域の少なくとも一部を、前記基板の方向でジェット軸に沿ってガスノズルから放出されるガス又は蒸気のジェットへ暴露することを含む。
他の実施態様によれば、基板処理のための処理モジュールが記載される。前記処理モジュールは、処理チャンバ及び前記処理チャンバに組み合わされて基板を保持するように構成された基板ホルダーを含む。さらに、前記処理モジュールは、前記処理チャンバと組み合わされ、前記基板を電磁場(EM)放射に暴露するように構成された放射源を含み、前記放射源は、前記基板上にビームスポットを生成するIR放射のビームを生成するように構成される赤外線(IR)源を含む。さらに、前記処理モジュールは、前記処理チャンバと組み合わされ、前記基板の方向のジェット軸に沿って放射されかつ前記ビームスポットと交差するガス又は蒸気のジェットを生成するように構成されるガスノズルを持つ、ガス注入システムを含む。
他の実施態様によれば、基板を処理するための処理モジュールが記載される。前記処理モジュールは、処理チャンバ、前記処理チャンバに組み合わされ基板を保持するように構成される基板ホルダー及び前記処理チャンバと組み合わされて電磁(EM)放射に前記誘電体膜を暴露するように構成される放射源を含む。前記放射源は、UV源を含み、前記UV源はUVランプ及び前記ランプから前記基板へ反射されるUV放射を方向付けるための反射装置を含む。前記反射装置は、ダイクロイック反射装置を持ち、非吸収反射装置が前記UVランプと前記基板との間に設けられ、前記UVランプからのUV放射を前記ダイクロイック反射装置の方向へ反射するように構成され、前記非吸収反射装置が、前記UVランプから前記基板へのUV放射を実質的に防止する。
図1は、1つの実施態様による、基板上の誘電体膜を統合するための方法を示す。 図2は、他の実施態様による、基板上の誘電体膜を統合するための方法を示す。 1つの実施態様による、基板のクリーニングの方法を示す。 図4Aは、さらなる実施態様による、基板のクリーニングのための方法及びシステムを模式的に示す。 図4Bは、さらなる実施態様による、基板のクリーニングのための方法及びシステムを模式的に示す。 図5Aは、さらなる実施態様による、基板のクリーニングのための方法を示す。 図5Bは、さらなる実施態様による、基板のクリーニングのための方法を示す。 図5Cは、さらなる実施態様による、基板のクリーニングのための方法を示す。 図5Dは、さらなる実施態様による、基板のクリーニングのための方法を示す。 図6は、1つの実施態様による、処理システムのための例示的移送システムの模式的側面図である。 図7は、図6に示される前記移送システムの模式的平面図である。 図8は、他の実施態様による処理システムのための他の例示的移送システムの模式的側面図である。 図9は、他の実施態様による、処理システムのための他の例示的移送システムの模式的正面図である。 図10は、他の実施態様による処理モジュールの模式的断面図である。 図11は、他の実施態様による処理モジュールの模式的断面図である。 図12は、他の実施態様による処理モジュールの模式的断面図である。 図13は、他の実施態様による処理モジュールの模式的断面図である。
電磁(EM)放射を用いて基板上に、low−k誘電体膜を含む誘電体膜の、統合化、パターン化、処理、硬化及びクリーニングのための方法が、種々の実施態様で記載される。当業者には次のこと、即ち、種々の実施態様が、1又はそれ以上の具体的な詳細なしで、又は他の置換及び/又は追加の方法、材料又は部品を用いて実施され得る、ということを理解できる。他の例では、よく知られる構造、材料又は操作については、本発明の種々の実施態様の側面を曖昧にしないように示されないか、又は詳細に記載される。同様に、説明の目的のため、具体的な数、材料及び構成が、本発明の完全な理解を与えるため記載される。それにも拘わらず、本発明は具体的な詳細なしで実施され得る。さらに、理解されるべきことは、図に示される種々の実施態様は説明のためであり、寸法通りに描かれていない、ということである。
本明細書を通じて「1つの実施態様」とは、前記実施態様と関連する1つの具体的な構成、構造、材料又は特性が本発明の少なくとも1つの実施態様に含まれることを意味するが、それらが任意の実施態様に存在することを意味するものではない。従って、この明細書の種々の場所で用語「1つの実施態様において」が現れるが、本発明の同じ実施態様を意味するものではない。さらに、具体的な構成、構造、材料又は特性は、1又はそれ以上の実施態様で任意の適切な方法で組み合わせることが可能である。種々の追加の層及び/又は構造が含まれ、及び/又は記載された構成が他の実施態様では省略され得る。
ここで使用される「基板」とは、一般的に本発明により処理される対象物を意味する。前記基板は、装置、具体的には半導体又はその他の電子デバイスの任意の材料部分又は構造を含み、例えば半導体ウェハなどのベース基板構造又は薄膜などのベース基板構造の上又は下に存在する層などである。従って基板は、任意の具体的なベース構造、上層又は下層、パターン化又は非パターン化などに限定されない。むしろ任意のかかる層やベース構造及び層及び/又はベース構造の任意の組合せを含むことが意図される。以下の記載は基板の具体的なタイプを参照し得るが、これは説明のためだけであり、何らを限定するものではない。
本発明者は次の知見を得た。即ち、基板の処理、及び具体的にはlow−k膜を持つ基板の処理のための他の方法は、熱硬化などの従来技術の硬化方法の欠陥、またプラズマアッシングや湿式クリーニングなどの従来技術のクリーニング方法などの欠点に対処する、ということである。例えば、かかる膜の硬化及びクリーニングのための他の方法は、それらの従来の方法に比較してエネルギー伝達においてより効果的であり、かつ加速電子、イオン又は中性物などのエネルギー粒子の形、又はエネルギー光子の形で見出されるより高いエネルギーレベルは、low−k膜でより容易に電子を励起でき、従ってより効果的に化学結合を開裂させ、かつ側部基を開裂させることができる。これらの他の方法は、クロスリンク開始剤(フリーラジカル)の生成を容易にし、実際のクロスリンクで必要とされるエネルギー移動を改善することができる。その結果、エネルギー消費が低く、かつクロスリンクの程度が増加することとなる。
さらに、本発明者は次の知見を得た。即ち、膜強度が、low−k及び超low−k(ULK)誘電体膜(誘電率が約2.5)の統合のためのより大きい問題となり、かかる膜の硬化およびクリーニングの他の方法はかかる膜の機械的性質を改善し得る、ということである。例えば、電子ビーム(EB)、紫外線(UV)放射、赤外線(IR)放射及びマイクロ波(MW)放射は、機械的強度を改善するためにlow−k膜及びULK膜を硬化させ、同時に前記膜の誘電性及び膜疎水性を損なうことなく硬化させるために使用され得る。
しかし、EB、UV、IRおよびMW硬化は全てそれ自体の利点を持つけれども、これらの技術はまた限界もある。EB及びUV硬化などの高エネリギー硬化源は、従来の基板加熱下でずっと改善される機械的性質を与える、クロスリンクのために必要なクロスリンク開始剤(フリーラジカル)よりもずっと多いレベルで与えることができる。その一方で、電子及びUV光子は、化学結合の無差別な解離を生じ、これは前記膜の望ましい物理的及び電子的性質、例えば疎水性の損失、残留膜応力の増加、ポア構造の破壊、膜密度の増加及び誘電率の増加などを悪化させ得る。さらに、MW硬化などの低エネルギー硬化源は、熱伝達効率において大きな改善をもたらすが、一方で例えばアーキング発生やトランジスタ損傷などの副作用を有する恐れがある。
従って種々の実施態様により、基板上へEM放射を用いて、low−k膜を含む誘電体膜の、統合化、パターン化、処理、硬化及びクリーニングの方法が開示される。以下図面を参照するが、図面を通じて類似の数字は対応する部品を示す。図1は本発明の1つの実施態様による基板上の誘電体膜を統合化するための方法を示すフローチャートを提示する。さらに、基板上の誘電体膜を統合するための方法20が図2に示される。
フローチャート1で示される方法はステップ11で開始(図中21)され基板30上に誘電体膜32を形成し、前記誘電体膜32は、誘電率4以下を持つlow−k誘電体膜である。基板30は半導体、金属導電体又は前記誘電体膜32がその上に形成される任意の基板であってよい。
誘電体膜32は、SiOの誘電率よりも小さい誘電率(乾燥及び/又は硬化の前、又は乾燥及び/又は硬化の前はその両方)を持ち、約4である(例えば熱シリコン酸化物は約3.8から3.9の範囲であり得る)。本発明の種々の実施態様で、前記誘電体膜32は誘電率(乾燥及び/又は硬化の前、又は乾燥及び/又は硬化の前はその両方)が、約3.0、約2.5未満、約2.2未満又は約1.7未満の誘電率を持ち得る。
前記誘電体膜32は、低誘電率(low−k)膜又は超low−k膜と記載され得る。前記誘電体膜32は、少なくとも1つの有機、無機及び無機−有機ハイブリッド材料を含む。さらに、前記誘電体膜32は多孔性又は非多孔性であってよい。
前記誘電体膜32は、例えば単相又は構造形成材料と孔形成材料との二相多孔性low−k膜を含み得る。前記構造形成材料は、原子、分子又は構造形成前駆体から誘導される分子の断片であり得る。前記孔形成材料は、原子、分子又は孔形成前駆体(例えばポロゲン)から誘導される分子の断片であり得る。前記単相又は二相多孔性low−k膜は、前記孔形成材料の除去の前は、前記孔形成材料の除去の後よりも大きい誘電率を持ち得る。
単相多孔性low−k膜の形成は、基板の表面に、前記構造形成分子に弱く結合された孔形成分子側部基を持つ構造形成分子を堆積することを含む。例えば、単相材料は、小さいボイド(又は孔)を形成するために硬化処理の際にクロスリンクを抑制する末端有機側部基を持つシリコン酸化物系マトリックスを含み得る。さらに、二相多孔性low−k膜の形成は、基板上の表面で構造形成分子と孔形成分子との共重合反応を含み得る。例えば、二相材料は、硬化処理の間に分解し揮発される有機材料(例えばポロゲン)を含むシリコン酸化物系マトリックスを含み得る。
さらに、前記誘電体膜32は、乾燥及び/又は硬化の前の誘電率が乾燥及び/又は硬化の後の誘電率よりも高い誘電率にする、湿度、水分、溶媒及び/又はその他の汚染物を含み得る。
誘電体膜32は、化学蒸着堆積(CVD)又はスピンオン誘電体(SOD)技術を用いて実施され得る。これらは、東京エレクトロン株式会社(TEL)から市販されているClean Track ACT8 SODシステム及びACT 12 SODコーティングシステムが使用され得る。Clean Track ACT 8(200mm)システム及びACT12(300mm)コーティングシステムは、SOD材料のコーティング処理、加熱処理及び硬化処理を提供する。前記トラックシステムは、100mm、200mm、300mmおよびそれ以上の基板サイズの処理を行うように構成され得る。スピンオン誘電体技術及びCVD技術の技術分野の業者に知られた基板上へ膜を形成するためのその他のシステム及び方法も本発明において適切なものとなり得る。
ステップ12(図中22)で、予備硬化処理が誘電体膜32に実施され、誘電体膜32を少なくとも部分的に硬化させ、軟硬化誘電体膜32Aを形成する。前記予備硬化処理は、前記誘電体膜32の任意のパターン化に先立って行われ得るものであり、熱硬化処理、赤外線(IR)硬化処理または紫外線(UV)硬化処理又はこれらの2以上の任意の組合せが含まれる。さらに、前記予備硬化処理は、第1の基板温度で実施され得る。例として、前記予備硬化処理は、予備的クロスリンクを生じて前記誘電体膜32の続く硬化ステップの間の応力を緩和する助けとなる。さらに、例えば、前記予備硬化処理は、続くエッチング処理及び/又はクリーニング処理を介するパターン化の際に起こりうる損傷を低減させ得る。
1つの実施態様では、前記予備硬化処理は、UV放射(場合によりIR放射及び熱加熱と共に)を用いて前記誘電体膜32を軟硬化させることを含む。
前記予備硬化の間、前記UV暴露は、複数のUV暴露を含み、それぞれのUV暴露は異なる強度、パワー、パワー密度、暴露時間又は波長範囲、又はこれらの2以上の任意の組合せを含んでいてもよく、含まなくてもよい。さらに、前記IR暴露は、複数のIR暴露を含み、それぞれのIR暴露は異なる強度、パワー、パワー密度、暴露時間又は波長範囲、又はこれらの2以上の任意の組合せを含んでいてもよく、含まなくてもよい。さらに、前記UV及びIR暴露は、連続的に又は並行的に行われ得る。
前記UV暴露又はIR暴露の間又は両方の暴露の間、誘電体膜32は、前記第1の基板温度へ基板30の基板温度を加熱することで上げられる。前記第1の基板温度は約100℃(摂氏)から約600℃である。又は、前記第1の基板温度は、約100℃から約500℃の範囲である。又は、前記第1の基板温度は、約100℃から約300℃の範囲である。基板熱加熱は、熱伝導加熱、対流加熱又は放射加熱、又はそれらの2以上の任意の組合せで実施され得る。例えば、前記基板温度は、基板30に接触する基板ホルダーの温度を上昇させることで上げることができる。
さらに、基板の熱加熱は、UV暴露の前、暴露中又は暴露後、又はそれらの2以上の任意の組合せで実施され得る。さらに、熱加熱はIR暴露の前、暴露中又は暴露後、又はそれらの2以上の任意の組合せで実施され得る。熱加熱は、熱伝導加熱、対流加熱又は放射加熱、又はそれらの2以上の任意の組合せにより実施され得る。
UV及び/又はIR暴露に先立って、乾燥処理がされ、前記誘電体膜32の1又はそれ以上の汚染物を除去又は部分的に除去する。汚染物には、例えば湿気、水分、溶媒、ポア生成材料、ポア生成材料残留物、ポア生成分子、ポア生成分子の断片、又は前記予備硬化処理に影響を与え得るその他の任意の汚染物が含まれる。
前記誘電体膜32をUV放射に暴露することは、1又はそれ以上のUVランプ、1又はそれ以上のUVLED(発光ダイオード)又は1又はそれ以上のUVレーザー、又はこれらの2以上の任意の組合せを前記誘電体膜32に暴露することを含む。前記UV放射は連続的又はパルス的であってよい。前記UV放射はブロードバンド又はナローバンドであってよい。前記UV放射は、約100ナノメートル(nm)から約600nmの波長の範囲で放射するUVを含む。又は、前記UV放射は、約150nmから約400nmの波長の範囲であり得る。又は、前記UV放射は、約200nmから約350nmの波長の範囲であり得る。又は、前記UV放射は、約150nmから約250nmの波長の範囲であり得る。又は、前記UV放射は、約170nmから約240nmの波長の範囲であり得る。又は、前記UV放射は、約200nmから約250nmの波長の範囲であり得る。
前記誘電体膜32のIR放射への暴露は、1又はそれ以上のIRランプ、1又はそれ以上のIRLED(発光ダイオード)又は1又はそれ以上のIRレーザー、又はこれらの2以上の任意の組合せを、前記誘電体膜32に暴露することを含む。前記IR放射は連続的又はパルス的であってよい。前記IR放射はブロードバンド又はナローバンドであってよい。例えば、前記IR放射は、ナローバンド波長を持つ実質的にモノクロマチック電磁(EM)放射を含み得る。前記IR放射は、約1ミクロンから約25ミクロンの波長の範囲のIR放射を含み得る。又は、前記IR放射は、約2ミクロンから約20ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約14ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約12ミクロンの波長の範囲であり得る。又は、前記IR放射は、約9ミクロンから約10ミクロンの波長の範囲であり得る。
本発明者は、伝達されるエネルギーレベル(hν)は前記予備硬化処理の異なる段階で変化し得る、ということを見出した。前記予備硬化処理は、水分及び/又は汚染物の除去、ポア生成材料の除去、ポア生成材料の分解、クロスリンク開始剤の生成、前記誘電体膜のクロスリンク及び前記クロスリンク開始剤の拡散のためのメカニズムを含む。それぞれのメカニズムは、異なるエネルギーレベル及びエネルギーが前記誘電体膜へ伝達される異なる速度を必要とする。
例えば、ポア生成材料の除去の間は、前記除去処理はIR波長の光子吸収により容易に実行され得る。本発明者は、IR暴露は、熱加熱又はUV暴露よりもより効率的にポア生成材料の除去を助ける、ということを見出した。
さらに、例えばポア生成材料の除去の間、前記除去処理は、前記ポア生成材料の分解により補助され得る。前記除去処理は、UV暴露による補助されるIR暴露を含み得る。本発明者は、UV暴露は、IR暴露を含む除去処理を、ポア生成材料(例えば、ポア生成分子及び/又はポア生成分子断片)及び構造形成材料との間の結合を開裂させることで補助し得ることを見出した。例えば、前記除去及び/又は分解処理は、UV波長の光子吸収(例えば約300nmから約450nm)により補助され得る。
さらに、例えばクロスリンク開始剤の生成の間、前記開始剤生成は、前記構造形成材料内の結合の開裂を誘導する光子及びフォノンを用いることで容易に実施され得る。本発明者は、前記開始剤生成がUV暴露で容易に実施され得ることを見出した。例えば、結合開裂は、約300から400nm未満の波長を持つエネルギーレベルを必要とし得る。
さらに、例えばクロスリンクの間、前記クロスリンクは、結合の生成及び再配列のために十分な熱エネルギーにより容易に実施され得る。本発明者は、クロスリンクがIR放射又は熱加熱又はそれらの両方により容易に実施され得る、ということを見出した。例えば、結合形成及び再配列は、約9ミクロンの波長を持つエネルギーレベルを必要とし、これは例えばシロキサン系有機シリケートlow−k材料での主吸収ピークに対応する。
ステップ13(図中の23)で、軟硬化誘電体膜32Aにリソグラフィー処理及びエッチング処理を用いてパターンが形成される。前記リソグラフィー処理は、イメージ露光及び現像手順を用いて、フォトレジストなどの放射線感受性材料の層内に前記パターンを準備することを含む。例えば、前記パターンは、トレンチやラインパターン、又はビアやホールパターン、又はこれらの組合せを含み得る。前記パターンは、下のハードマスク層又はキャップ層34へ転写され、その後1又はそれ以上のエッチング処理を用いて前記軟硬化誘電体膜32Aへ転写される。前記1又はそれ以上の処理は、ドライ及び/又は湿式エッチング処理を含み得る。例えば、前記1又はそれ以上のエッチング処理は、ドライプラズマエッチング処理及び/又はドライ非プラズマエッチング処理を含む。
ステップ14(図中の24)で、表面残渣35などの望ましくない残渣が基板30から除去され、軟硬化誘電体膜32Aの前記暴露表面上に低減された残渣35Aとなる。前記低減残渣35Aを持つ暴露表面はまた、損傷も低減されることを示し得る。例として、前記望ましくない残渣には、表面吸着物質、粒子状物質、湿気、エッチング残渣、望ましくない炭素含有残渣、アモルファス炭素含有残渣、炭化水素含有残渣、フッ化炭素含有残渣、ハロゲン含有残渣又はポリマー含有残渣又はこれらの2以上の任意の組合せが含まれる。
超low−k誘電体膜(即ち誘電率が2.5以下の値を持つ誘電体膜)を含む誘電体膜32又は軟硬化誘電体膜32Aのパターン化の間、誘電体膜32のパターン化を実施するために利用される前記1又はそれ以上のエッチング処理は誘電体膜32又は軟硬化誘電体膜32Aを損傷する恐れがあり、前記損傷には、誘電率k、表面粗度の劣化及び特に誘電体膜32の疎水性劣化を含まれる。さらに、誘電体膜32又は軟硬化誘電体膜32Aのパターン化で利用される前記1又はそれ以上のマスク層を、プラズマアッシングなどのアッシング処理及び/又は湿式クリーニング処理を用いて除去する間、さらなる吸着物の蓄積を含むさらなる劣化及び/又は損傷が起こり得る。さらに、誘電体膜30又は軟硬化誘電体膜32Aのために低誘電率kの調製の間、炭素含有量の増加が望ましい。しかし、炭素含有量がプラズマ強化化学蒸着堆積処理(PECVD)を用いることで増加される場合に、比較的高い誘電率kを持つアモルファス炭素残渣が意図せずに残留し、これを除去することが困難である。このアモルファス炭素含有残渣は、さらなる誘電率kの低下を妨げる。
従って前記望ましくない残渣の除去には:
(1) 誘電体膜32又は軟硬化誘電体膜32Aのパターン化の際に使用されたフォトレジスト又はフォトレジスト残渣などの1又はそれ以上のマスク層を取り除くこと;
(2) 誘電体膜32又は軟硬化誘電体膜32Aの1つ又はそれ以上の暴露表面をクリーニングして、前記の湿気、エッチング残渣、ハロゲン含有残渣、フッ化炭素含有残渣、炭化水素含有残渣などを含む望ましくない残渣又は表面吸着物を除去すること;
(3) 誘電体膜32又は軟硬化誘電体膜32Aの1又はそれ以上の暴露表面を脱水すること;
(4) 誘電体膜32又は軟硬化誘電体膜32Aの誘電率kを、意図しないアモルファス炭素含有残渣を除去して低減させること;又は
(5) 誘電体膜32又は軟硬化誘電体膜32Aをさらに劣化及び/又は損傷することなく1又はそれ以上の取り除き及び/又はクリーニング処理を実施すること、又は(6)これらの2以上の任意の組合せ、が含まれる。
1つの実施態様では、前記望ましくない残渣は、誘電体膜32又は軟硬化誘電体膜32Aのパターンを含む基板30を、IR放射及び場合によりUV放射で照射することでドライEM放射クリーニング処理を用いて除去され得る。以下詳細に説明されるように、望ましくない残渣は、誘電体膜32又は軟硬化誘電体膜32Aのパターンを含む基板30を、IR放射ビームで照射(場合によりUV放射への暴露と組み合わせて)すること、及び/又は場合により基板30への方向のジェット軸に沿ったノズルから放出されたガス又は蒸気のジェットへ暴露させることで(前記ガス又は蒸気のジェットは基板30と反応性であるか又は非反応性である)、基板30から除去され得る。さらに、前記望ましくない残渣の除去には、基板30を約20℃から約250℃の範囲の基板温度へ加熱することを含み得る。
本発明者は、遠IR発光などのIR放射は前記パターン化誘電体膜、及び/又は炭化水素含有材料及びフッ化炭素含有材料などの通常の表面吸着物に強く吸収され得る、と考えている。さらに、EM放射に基づく温度勾配により熱泳動力が表面吸着物及び粒子状物の除去を助けると考えられている。さらに、UV放射は、フォトレジスト、炭化水素含有材料及びフッ化炭素含有材料などの表面吸着物に典型である化学結合を切断することを補助し、従ってそれらが脱着する処理を容易にする。
他の実施態様では、上で説明された望ましくない残渣はドライEM放射クリーニング処理と、低減プラズマアッシング処理などの低減アッシング処理とを組み合わせを用いて除去され得る。前記低減アッシング処理は、望ましくない残渣を少なくとも部分的に除去するために利用され得る。例えば、前記低減アッシング処理には、前記誘電体膜32又は軟硬化誘電体膜32Aへの損傷を低減させるプラズマ処理条件などの処理条件を含み得る。前記処理条件には、低減アッシング時間、低減プラズマパワー、低減化学種(例えば、より弱い化学種又は損傷の少ない化学種)又はそれらの任意の組合せが含まれる。
他の実施態様では、前記望ましくない残渣は、アッシング処理又は湿式クリーニング処理又はそれらの両方を用いて除去され得る。例えば、前記アッシング処理には、ドライプラズマアッシング処理が含まれる。さらに、例えば前記湿式クリーニング処理には、基板30を、水溶性HF溶液などの湿式クリーニング溶液に浸漬することを含み得る。
図中の25で、ステップ14(図中24)で望ましくない残渣の除去の後、最終の硬化処理に先立って、場合によりシリル化された表面層35Bを形成するシラン化処理が実施される。前記シリル化処理は、前記誘電体膜32又は軟硬化誘電体膜32Aへシリル基を導入して、前記誘電体膜32又は軟硬化誘電体膜32Aの暴露表面の平坦化、ヒーリング及び/又はシーリングのための保護基として作用させる。
1つの実施態様では、前記シリル化処理には、シラン化合物、シラザン化合物、HMDS又はTMCS又はそれらの2以上の任意の組合せを導入することを含む。前記シリル化にはさらに、基板30を約200℃と約400℃の基板温度に維持することを含む。他の実施態様では、前記シリル化処理にはさらに、UV放射で基板を照射することを含む。
ステップ15で(図中26)、最終硬化処理が誘電体膜32又は軟硬化誘電体膜32A、に実施され、少なくともさらに誘電体32を硬化させて硬硬化誘電体膜32Bを形成させる。前記硬化処理には、熱硬化処理、IR硬化処理又はUV硬化処理、又はこれらの2以上の任意の組合せが含まれ得る。さらに、前記最終硬化処理は、第2の基板温度で実施され得る。1つの実施態様では、前記第2の基板温度は、前記第1の基板温度を超える。一例として、前記最終硬化処理は、実質的に完全に誘電体膜32又は軟硬化誘電体膜32Aをクロスリンクさせて、例えば機械的特性を含む強化された膜特性を生成させる。
1つの実施態様では、前記最終硬化処理には、場合によりIR放射と場合により熱加熱と共にUV放射を用いることで誘電体膜32を硬硬化させることを含む。
前期最終硬化処理の間、前記UV暴露には、複数のUV暴露を含むことができ、それぞれの暴露は、異なる強度、パワー、パワー密度、暴露時間又は波長範囲又はこれらの2以上の任意の組合せを含んでもよく、又は含まなくてもよい。さらに、前記IR暴露には、複数のIR暴露を含むことができ、それぞれの暴露は、異なる強度、パワー、パワー密度、暴露時間又は波長範囲又はこれらの2以上の任意の組合せを含んでもよく、又は含まなくてもよい。さらに、前記UV暴露及びIR暴露は連続的に又は並行的に実施され得る。
前記UV暴露又はIR暴露又はその両方の間、誘電体膜32又は軟硬化誘電体膜32Aは、前記第1の基板温度へ基板30の基板温度を上げることで加熱されることができ、前記第1の基板温度は、約100℃から約600℃の範囲である。又は前記第1の基板温度は、約100℃から約500℃の範囲である。又は前記第1の基板温度は、約100℃から約300℃の範囲である。基板の熱加熱は、熱伝導加熱、対流加熱又は放射加熱又はこれらの2以上の任意の組合せにより実施され得る。例えば、基板温度は、基板30に接触する基板ホルダーの温度を上げることで上昇させ得る。
さらに、基板30の熱加熱は、UV暴露の前、暴露の間又は暴露の後又はそれらの2つの任意の組合せで実施され得る。さらに、前記熱加熱は、IR暴露の前、暴露の間又は暴露の後又はそれらの2つの任意の組合せで実施され得る。熱加熱は、熱伝導加熱、対流加熱又は放射加熱又はこれらの2以上の任意の組合せにより実施され得る。
UV及び/又はIR暴露に先立って、乾燥処理がされ、前記誘電体膜32又は軟硬化誘電体膜32Aの1又はそれ以上の汚染物を除去又は部分的に除去する。汚染物には、例えば湿気、水分、溶媒、ポア生成材料、ポア生成材料残留物、ポア生成分子、ポア生成分子の断片、又は前記予備硬化処理に影響を与え得るその他の任意の汚染物が含まれる。
前記誘電体膜32又は軟硬化誘電体膜32AをUV放射に暴露することは、1又はそれ以上のUVランプ、1又はそれ以上のUVLED(発光ダイオード)又は1又はそれ以上のUVレーザー、又はこれらの2以上の任意の組合せを、前記誘電体膜32又は軟硬化誘電体膜32Aに暴露することを含む。前記UV暴露照射は連続的又はパルス的であってよい。前記UV照射はブロードバンド又はナローバンドであってよい。前記UV放射は、約100ナノメートル(nm)から約600nmの波長の範囲で放射するUVを含む。又は、前記UV放射は、約150nmから約400nmの波長の範囲であり得る。又は、前記UV放射は、約200nmから約350nmの波長の範囲であり得る。又は、前記UV放射は、約150nmから約250nmの波長の範囲であり得る。又は、前記UV放射は、約170nmから約240nmの波長の範囲であり得る。又は、前記UV放射は、約200nmから約250nmの波長の範囲であり得る。
前記誘電体膜32又は軟硬化誘電体膜32AのIR放射への暴露は、1又はそれ以上のIRランプ、1又はそれ以上のIRLED(発光ダイオード)又は1又はそれ以上のIRレーザー、又はこれらの2以上の任意の組合せを、前記誘電体膜32又は軟硬化誘電体膜32Aに暴露することを含む。前記IR放射は連続的又はパルス的であってよい。前記IR放射はブロードバンド又はナローバンドであってよい。例えば、前記IR放射は、波長のナローバンドを持つ実質的にモノクロマチック電磁(EM)放射を含み得る。前記IR放射は、約1ミクロンから約25ミクロンの波長の範囲のIR放射を含み得る。又は、前記IR放射は、約2ミクロンから約20ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約14ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約12ミクロンの波長の範囲であり得る。又は、前記IR放射は、約9ミクロンから約10ミクロンの波長の範囲であり得る。
本発明者は、伝達されるエネルギーレベル(hν)は前記最終硬化処理の異なる段階で変化し得る、ということを見出した。前記最終硬化処理は、水分及び/又は汚染物の除去、ポア生成材料の除去、ポア生成材料の分解、クロスリンク開始剤の生成、前記誘電体膜のクロスリンク及び前記クロスリンク開始剤の拡散のためのメカニズムを含む。それぞれのメカニズムは、異なるエネルギーレベル及びエネルギーが前記誘電体膜へ伝達される異なる速度を必要とする。
例えば、ポア生成材料の除去の間は、前記除去処理はIR波長の光子吸収により容易に実施され得る。本発明者は、IR暴露は、熱加熱又はUV暴露よりもより効率的にポア生成材料の除去を助ける、ということを見出した。
さらに、例えばポア生成材料の除去の間、前記除去処理は、前記ポア生成材料の分解により補助され得る。前記除去処理は、UV暴露による補助されるIR暴露を含み得る。本発明者は、UVを暴露は、IR暴露を含む除去処理を、ポア生成材料(例えば、ポア生成分子及び/又はポア生成分子断片)及び構造形成材料との間の結合を開裂させることで補助し得ることを見出した。例えば、前記除去及び/又は分解処理は、UV波長の光子吸収(例えば約300nmから約450nm)により補助され得る。
さらに、例えばクロスリンク開始剤の生成の間、前記開始剤生成は、前記構造形成材料内の結合の開裂を誘導する光子及びフォノンを用いることで容易に実施され得る。本発明者は、前記開始剤生成がUV暴露で容易に実施され得ることを見出した。例えば、結合開裂は、約300から400nm未満の波長を持つエネルギーレベルを必要とし得る。
さらに、例えばクロスリンクの間、前記クロスリンクは、結合の生成及び再配列のために十分な熱エネルギーにより容易に実施され得る。本発明者は、クロスリンクがIR放射又は熱加熱又はそれらの両方により容易に実施され得る、ということを見出した。例えば、結合形成及び再配列は、約9ミクロンの波長を持つエネルギーレベルを必要とし、これは例えばシロキサン系有機シリケートlow−k材料での主吸収ピークに対応する。
さらに前記パターン化された硬硬化誘電体膜32Bは場合により、前記硬硬化誘電体膜32Bを変性させるように構成された後処理システム内で後処理され得る。例えば、後処理は前記硬硬化誘電体膜32Bを熱加熱することを含む。又は、例えば後処理が、前記硬硬化誘電体膜32B上に他の膜をスピンコーティング又は蒸着堆積し、続く膜のための接着性を促進又は疎水性を改善する。又は、例えば接着性促進は、前記硬硬化誘電体膜32Bをイオンで軽く衝撃する後処理で達成され得る。さらに、前記後処理は、前記硬硬化誘電体膜32B上に他の膜を堆積すること、前記硬硬化誘電体膜32Bをクリーニングすること、又は前記硬硬化誘電体膜32Bをプラズマに暴露することの1又はそれ以上を実施することを含む。
図3を参照する。図3には、1つの実施態様により提供される基板クリーニングの方法のフローチャート4が示されている。さらに、基板クリーニングのシステム及び方法が、図4A、4B及び5Aから5Dに示される。
図3、4A、4B及び5Aから5Dに示されるように、フローチャート4はステップ41で開始され、1又はそれ以上の層又は構造60AからDを含む基板50上の領域62を赤外線(IR)放射及び場合により紫外線(UV)放射で照射して、前記1又はそれ以上の層又は構造60AからDから材料又は望ましくない残渣65AからDを除去する。一例として、前記望ましくない残渣には、表面吸着物質、粒子状物質、湿気、エッチング残渣、望ましくない炭素含有残渣、アモルファス炭素含有残渣、炭化水素含有残渣、フッ化炭素含有残渣、ハロゲン含有残渣又はポリマー含有残渣又はこれらの2以上の任意の組合せが含まれる。
前記1又はそれ以上の層又は構造60Aから60Dには、low−k層、超low−k層、フォトレジスト層、反射防止コーティング(ARC)層、有機偏光層(OPL)、ソフトマスク層又はハードマスク層又はこれらの2以上の任意の組合せが含まれる。さらに図5Aから5Dに示されるように、前記1又はそれ以上の層又は構造60Aから60Dには、非パターン化、ブランケット層又は構造が含まれ、又前記1又はそれ以上の層又は構造60Aから60Dにはパターン化層又は構造が含まれ得る。例えば、前記パターン化層又は構造は、リソグラフィー及び/又はエッチング処理により形成され得る。さらに、例えば前記パターン化層又は構造は、パターン化マスク層及びエッチング処理を用いて形成され得る。
前記IR放射は、基板50上にビームスポット53を生成するIR源51から放射されるIR放射52のビームを含み得る。前記IR源51は、1又はそれ以上のIRランプ、1又はそれ以上のIRLED(発光ダイオード)又は1又はそれ以上のIRレーザー、又はそれらの2以上の任意の組み合せを含み得る。前記IR放射は連続的でもパルス的でもよい。前記IR放射はブロードバンドでもナローバンドでもよい。例えば、前記IR放射は、波長のナローバンドを持つ実質的に単色電磁(EM)放射を含み得る。前記IR放射は、約1ミクロンから約25ミクロンの波長の範囲のIR放射を含み得る。又は、前記IR放射は、約2ミクロンから約20ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約14ミクロンの波長の範囲であり得る。又は、前記IR放射は、約8ミクロンから約12ミクロンの波長の範囲であり得る。又は、前記IR放射は、約9ミクロンから約10ミクロンの波長の範囲であり得る。前記IR放射のスペクトルの内容は、前記1つ又はそれ以上の層又は構造60Aから60Dの少なくとも残りの一分部分、又は除かれるべき前記材料又は望ましくない残渣の少なくとも一部分で吸収を生じさせるように選択され得る。
前記UV源(図示されていない)には、1又はそれ以上のUVランプ、1又はそれ以上のUVLED又は(発光ダイオード)又は1又はそれ以上のUVレーザー、又はそれらの2以上の任意の組み合せ含み得る。前記UV放射は連続的でもパルス的でもよい。前記UV放射はブロードバンドでもナローバンドでもよい。前記UV放射は、約100ナノメートル(nm)から約600nmの波長の範囲で放射するUVを含む。又は前記UV放射は、約250ナノメートルを超える波長の範囲で放射するUVを含む。
前記IR暴露及びUV暴露は、連続的でもよく、又は並行的でもよい。例えば、前記照射には、UV照射と同時のIR照射、UV照射が先立つIR照射、又はIR照射に続くUV照射、又はこれらの任意の組合せが含まれる。
前記IR暴露、UV暴露又は両方の暴露の間、前記1又はそれ以上の層又は構造60AからDは、約20℃から約250℃の温度範囲へ基板50の基板温度を上げることで加熱され得る。例えば、前記基板温度は、前記基板50に接触する基板ホルダーの温度を上げることで上昇され得る。
さらに、基板50の熱加熱は、IR暴露の前、IR暴露の間又はIR暴露の後、又はこれらの2以上の任意の組合せで実施され得る。さらに、前記熱加熱は、UV暴露の前、UV暴露の間、UV暴露の後、又はこれらの2以上の任意の組合せで実施され得る。熱加熱は、熱伝導加熱、対流加熱又は放射加熱、又はこれらの2以上の任意の組合せで実施され得る。
ステップ42で、領域62の少なくとも1部分が、基板50の方向にあるジェット軸(57、57’)に沿ってガスノズル55から放出されるガス又は蒸気ジェット(56、56’)へ暴露される。例えば、前記ジェット軸(57、57’)は、基板50上の前記ビームスポット53と交差する。前記ガス又は蒸気ジェット(56、56’)は、領域62の少なくとも1部分と反応性か又は非反応性であるように選択され得る。さらに、前記ガス又は蒸気ジェット(56、56’)は、Ne、Ar、Kr、Xe、N、H、NH、CO、CO又はO、又はこれらの2以上の任意の組み合せを含み得る。例えば、酸素含有ガスは、炭素と結合してCOやCOなどの揮発性の副生成物を生成する。
一例では、クリーニング処理が図5Aに模式的に示される。前記クリーニング処理には、パターン化low−k誘電体材料63を含む1又はそれ以上の層又は構造60AをUV放射68で補助されたIR放射67で照射し、パターン化low−k誘電体材料63の側壁上のフォトレジスト層64A及びフォトレジスト残渣65Aを除去することを含む。その結果、前記クリーニング処理は、低減されたフォトレジスト66A及び/又は低減されたフォトレジストに関連した損傷を持つ1又はそれ以上のクリーン化層又は構造61Aを生成する。本発明者は、約300nmよりも大きい(この波長範囲に限定されない)UV放射を持つUV放射は、低基板温度で選択的にポリマー吸着物をグラフトさせ得る一方で、IR放射の吸収が前記low−k誘電体材料の暴露表面上に揮発性ポリマー残渣を脱着させることを助ける、と考えている。上で説明したように、前記クリーニング処理は、低減(即ち弱い)アッシング処理と組み合わされ得る。
他の例で、クリーニング処理が図5Bに模式的に示される。前記クリーニング処理には、パターン化low−k誘電材料63及びパターン化ハードマスク/キャップ材料64Bを含む1又はそれ以上の層又は構造60Bを、UV放射68で補助されるIR放射67で照射して、前記パターン化low−k誘電材料63の側壁上のフォトレジスト残渣65Bを除去することを含む。その結果、前記クリーニング処理は、低減されたフォトレジスト66B及び/又はフォトレジストに関連する低減された損傷を持つ1又はそれ以上のクリーン化層又は構造61Bを生成する。本発明者は、約300nmよりも大きい(この波長範囲に限定されない)UV放射を持つUV照射は、低基板温度で選択的にポリマー吸着物をグラフトさせ得る一方で、IR照射の吸収が前記low−k誘電体材料の暴露表面上に揮発性ポリマー残渣を脱着させることを助ける、と考えている。上で説明したように、前記クリーニング処理は、低減(即ち弱い)アッシング処理と組み合わされ得る。
他の例で、クリーニング処理が図5Cに模式的に示される。前記クリーニング処理には、パターン化low−k誘電材料63及びパターン化ハードマスク/キャップ材料64Cを含む1又はそれ以上の層又は構造60Cを、IR放射67で照射して、前記パターン化low−k誘電材料63の側壁上の湿気65Cを除去することを含む。その結果、前記クリーニング処理は、低減されたフォトレジスト66C及び/又はフォトレジストに関連する低減された損傷を持つ1又はそれ以上のクリーン化層又は構造61Cを生成する。本発明者は、IR放射は、前記low−k誘電体材料を選択的に加熱して湿気を除去し得る、と考える。
他の例で、クリーニング処理が図5Dに模式的に示される。前記クリーニング処理には、パターン化low−k誘電材料63及びパターン化ソフトマスク/ハードマスク/キャップ材料64Dを含む1又はそれ以上の層又は構造60Dを、IR放射67で照射して、前記パターン化low−k誘電材料63の側壁上のアモルファス炭素65Dを除去することを含む。その結果、前記クリーニング処理は、低減されたフォトレジスト66D及び/又はフォトレジストに関連する低減された損傷を持つ1又はそれ以上のクリーン化層又は構造61Dを生成する。更に又はこれに代えて、前記クリーニング処理はUV放射を含み得る。本発明者は、IR放射及びUV放射は、効果的にアモルファス炭素を除去し、誘電率kを低減する、と考える。さらに、本発明者は、続くUV誘起シリル化は、前記クリーニング処理で前記IR及び/又はUV暴露に続いてより効果的に適用される、と考える。
1つの実施態様によると、図6及び7は、基板上の誘電体膜処理のためのプロセスプラットフォーム100の側面図及び正面図を示す。前記プロセスプラットフォーム100は、第1の処理モジュール110と第2の処理モジュール120を含む。前記第1の処理モジュール110は、硬化システム、クリーニングシステム、表面変性システム又は乾燥システムを含み得る。前記第2の処理モジュール120は、硬化システム、クリーニングシステム、表面変性システム又は乾燥システムを含み得る。
前記乾燥システムは、1又はそれ以上の汚染物、ポア生成材料及び/又は誘電体膜中のクロスリンク防止剤などを十分なレベルまで除去又は低減するように構成されることができ、それらには、例えば湿気、水分、溶媒、汚染物、ポア生成材料、ポア生成材料残渣、構造形成材料へ弱く結合された側基、ポア生成分子、ポア生成分子の断片、クロスリンク防止剤、クロスリンク防止剤の断片又はその他の任意の前記硬化処置において実施される硬化処理に干渉し得る汚染物が含まれる。
例えば、前記乾燥処理の前から前記乾燥処理の後に前記誘電体膜内に存在する特定の汚染物を十分低減させることは、約10%から約100%の特定の汚染物を減少させることを含み得る。汚染物低減のレベルは、フーリエ変換赤外線スペクトル(FTIR)又は質量分析スペクトルを用いて測定され得る。又は、例えば誘電体膜内に存在する特定の汚染物を十分低減させることは、約50%から約100%の特定の汚染物を減少させることを含み得る。又は、例えば誘電体膜内に存在する特定の汚染物を十分低減させることは、約80%から約100%の特定の汚染物を減少させることを含み得る。
図6を参照して、前記硬化システムは、前記予備硬化処理又は前記最終硬化処理、又はそれらの両方を実施するように構成され得る。さらに、前記硬化システムは、例えば前記誘電体膜の機械的性質を改善するために、前記誘電体膜を前記誘電体膜内でクロスリンクを起こすか部分的に起こすことで硬化させるように構成され得る。さらに、前記硬化システムは、前記誘電体膜を、クロスリンクをポア生成材料の除去、ポア生成材料の分解など起こすか部分的に起こすことで硬化させるように構成され得る。前記硬化システムは、前記誘電体膜を持つ基板に、複数のEM波長でのEM放射へ暴露するように構成される1又はそれ以上の放射源を含む。例えば、前記1又はそれ以上の放射源には、IR放射源及びUV放射放射源が含まれ得る。前記基板のUV放射及びIR放射への暴露は、同時に、逐次に、又は部分的にお互いに重ねて実施され得る。逐次暴露の際には、前記基板のUV放射への暴露は、例えば前記基板のIR放射への暴露に先立つか、前記基板のIR放射への暴露の後か、又はそれらの両方で実施され得る。さらに、逐次暴露の際には、前記基板のIR放射への暴露は、例えば前記基板のUV放射への暴露に先立つか、前記基板のUV放射への暴露の後か、又はそれらの両方で実施され得る。
例えば、前記IR放射は、約1ミクロンから約25ミクロンの範囲のIR放射を含み得る。さらに、例えば前記IR放射は、約2ミクロンから約25ミクロンの範囲のIR放射、約8ミクロンから約14ミクロンの範囲のIR放射、約8ミクロンから約12ミクロンの範囲のIR放射、約9ミクロンから約10ミクロンの範囲のIR放射を含み得る。前記IR放射は、約1ミクロンから約25ミクロンの範囲のIR放射を含み得る。さらに、例えば前記UV放射は、約100ナノメートル(nm)から約600nmの範囲の放射を生成するUV波バンド源を含み得る。さらに、例えば前記UV放射は、約150nmから約400nm又は約150nmから約300nm、約170nmから約240nm、又は約200nmから約240nmの範囲であり得る。
又は、前記第1の処理モジュール110は、前記基板をUV放射へ暴露するように構成される第1の硬化システム、及び前記基板をIR放射へ暴露するように構成される第2の硬化システム120を含み得る。
前記基板へのIR暴露は、前記第1の処理モジュール110で、又は第2の処理モジュール120又は別の処理モジュール(図示されていない)で実施され得る。
図6を参照して、前記クリーニングシステムが、望ましくない残渣の除去を実施するように構成され得る。例えば、前記クリーニングシステムは、図4A及び4Bで示されるシステムの任意の1つを含み得る。
また、図6及び7に示されるように、移送システム130が前記第2の処理モジュール120と組み合わされて、基板を前記第1の処理モジュール110及び前記第2の処理モジュール120の内外に移送し、かつ基板を多素子製造システム140へと交換する。移送システム130は基板を前記第1の処理モジュール110及び第2の処理モジュール120を、真空環境を維持しながら移送することができる。
前記第1及び第2の処理モジュール110、120及び移送システム130は、例えば前記多素子製造システム140内の処理要素102を含む。前記移送システム130は、1又はそれ以上の基板を前記第1の処理モジュール110、前記第2の処理モジュール120及び前記多素子製造システム140の間を移送するための専用の基板ハンドラを含み得る。例えば、前記専用基板ハンドラ160は、前記1又はそれ以上の基板を前記処理モジュール(第1の処理モジュール110及び第2の処理モジュール120)、及び前記多素子製造システム140との間の移送専用であり得るが、本実施態様はこれには限定されない。
例えば、前記多素子製造システム140は、エッチングシステム、堆積システム、コーティングシステム、パターン化システム、測定システムなどの装置を含む処理要素へ及びから基板を移送することを可能にする。一例として、前記堆積システムは、1又はそれ以上の蒸着堆積システムを含み得る。そのそれぞれは、基板上に誘電体膜を堆積するように構成され、前記誘電体膜には多孔性誘電体膜、非多孔性誘電体膜、低誘電率(low−k)膜又は超low−k膜が含まれる。前記第1及び第2のシステムで起こる処理を分離するために、分離アセンブリ150がそれぞれのシステムを接続するために使用され得る。例えば、前記分離アセンブリ150は、断熱分離を与えるための少なくとも1つの断熱アセンブリを含み、かつ真空分離を与えるためにゲートバルブアセンブリを含み得る。前記第1及び第2の処理モジュール110及び120、及び移送システム130は、任意の順で設けられる。
図7は、1又はそれ以上の基板を処理するための図6に示される前記プロセスプラットフォーム100の上面図を示す。この実施態様では、基板142は前記第1及び第2の処理モジュール110、120で処理される。図7のそれぞれの処理システムでは1つの基板のみが示されているが、2又はそれ以上の基板もまたそれぞれの処理モジュールで並行して処理され得る。
図7を参照して、前記プロセスプラットフォーム100は、前記多素子製造システム140から拡張された、お互いに並行して作用するように構成され第1の処理要素102及び第2の処理要素104を含み得る。図6及び7に示されるように、前記第1の処理要素102は、第1の処理モジュール110及び第2の処理モジュール120を含み、一方移送システム130が専用基板ハンドラ160を使用して基板142を前記第1の処理モジュール102内に及びから移動させる。
又は、図8は他の実施態様による1又はそれ以上の基板を処理するためのプロセスプラットフォーム200の側面図を示す。プロセスプラットフォーム200は、基板上の誘電体膜を処理するように構成され得る。
前記プロセスプラットフォーム200は、第1の処理モジュール210及び第2の処理モジュール220を含み、前記第1の処理モジュール210は、示されるような垂直方向で、前記第2の処理モジュール220の上部にスタックされる。前記第1の処理モジュール210は、硬化システムを含み、前記第2の処理モジュール220は乾燥システムを含み得る。又は、前記第1の処理モジュール210は、前記基板をUV放射へ暴露するように構成される第1の硬化システムを含み、及び前記第2の処理モジュール220は、基板をIR放射に暴露するように構成される第2の硬化システムを含み得る。
また図8に示されるように、移送システム230は前記第1の処理モジュール210と組み合わされて、基板を前記第1の処理モジュール210内に及びから移送させることができ、さらに移送システム230は前記第2の処理モジュール220と組み合わされて、基板を前記第1の処理モジュール220内に及びから移送させることができる。前記移送システム230は、1又はそれ以上の基板を前記第1の処理モジュール210、前記第2の処理モジュール220及び前記多素子製造システム240の間を動かすための専用ハンドラを含む。前記ハンドラ260は、前記基板を前記処理モジュール(第1の処理モジュール210及び第2の処理モジュール)及び前記多素子製造システム240との間を動かすようにされているが、本実施態様はそれに限定されるものではない。
さらに、移送システム230は、基板を1又はそれ以上の基板カセット(図示されていない)と置き換えることができる。図8では2つの処理モジュールのみが示されているが、他の処理モジュールも移送システム230、又はエッチングシステム、堆積システム、コーティングシステム、パターン化システム、測定システムなどの装置を含む多素子製造システムにアクセス可能である。一例として、前記堆積システムには、1又はそれ以上の蒸着堆積システムが含まれ、そのそれぞれは基板上に誘電体膜を堆積するように構成されており、前記誘電体膜には、多孔性誘電体膜、非多孔性誘電体膜、低誘電率(low−k)膜又は超low−k膜が含まれる。分離アセンブリ250が、前記第1及び第2の処理モジュールで起こる処理を分離するために、それぞれの処理モジュールと組み合されて使用され得る。例えば、前記分離アセンブリ250は、断熱分離を与えるための少なくとも1つの断熱アセンブリを含み、かつ真空分離を与えるためにゲートバルブアセンブリを含み得る。さらに、例えば前記移送システム230は、前記分離アセンブリの一部として作用することができる。
他の実施態様によると、図9は、複数の基板342を処理するためのプロセスプラットフォーム300の上面図を示す。プロセスプラットフォーム300は、基板上の誘電体膜を処理するように構成され得る。
前記プロセスプラットフォーム300は、第1の処理モジュール310、第2の処理モジュール320及び場合により第1の移送システム330及び場合による第2の移送システム330’と組み合わされた追加の処理モジュール370を含む。前記第1の処理モジュール310は硬化システムを含み、前記第2の処理モジュール320は乾燥システムを含み得る。又は、前記第1の処置モジュール310は、前記基板342をUV放射に暴露するように構成される第1の硬化システム、及び前記第2の処理モジュール320は、前記基板342をIR放射の暴露するように構成される第2の硬化システムを含み得る。
また図9に示されるように、前記第1の移送システム330及び場合による第2の移送システム330’は、前記第1の処理モジュール310及び第2の処理モジュール320と組み合わされ、1又はそれ以上の基板342を前記第1の処理モジュール310及び前記第2の処理モジュール320の中へ又はから移送するように構成され、及びまた1又はそれ以上の基板342を多素子製造システム340と交換するように構成される。前記多素子製造システム340は、基板342のカセットが常圧及び低圧間をサイクル可能とするロードロック要素を含み得る。
前記第1及び第2の処理システム310、320、及び前記第1及び場合による第2の移送システム330、330’は、例えば前記多素子製造システム340内に処理要素を含み得る。前記移送システム330は、第1の専用ハンドラ360及び場合により第2の移送システム330’は場合による第2の専用ハンドラ360’を含み、1又はそれ以上の基板342を、前記第1の処理モジュール310、前記第2の処理モジュール320、前記場合による追加の処理モジュール370及び前記多素子製造システム340の間を移送させる。
1つの実施態様では、前記多素子製造システム340は、エッチングシステム、堆積システム、コーティングシステム、パターン化システム、測定システムなどの装置を含む処理要素へ又はから基板342を動かすことを可能にする。さらに、前記多素子製造システム340は、前記追加の処理モジュール370へ又はから基板342の移送を可能とし、前記追加の処理モジュール370には、エッチングシステム、堆積システム、コーティングシステム、パターン化システム、測定システムなどが含まれる。一例として、前記堆積システムには、1又はそれ以上の蒸着堆積システムが含まれ、そのそれぞれは基板上に誘電体膜を堆積するように構成されており、前記誘電体膜には、多孔性誘電体膜、非多孔性誘電体膜、低誘電率(low−k)膜又は超low−k膜が含まれる。
分離アセンブリ350が、前記第1及び第2の処理モジュールで起こる処理を分離するために、それぞれの処理モジュールと組み合されて使用され得る。例えば、前記分離アセンブリ250は、断熱分離を与えるための少なくとも1つの断熱アセンブリを含み、かつ真空分離を与えるためにゲートバルブアセンブリを含み得る。当然、処理モジュール310及び320、及び移送システム330及び330’は任意の順序で設けられ得る。
図10を参照して、他の実施態様による、基板上の誘電体膜を処理するように構成される処理モジュール400が示される。一例として、前記処理モジュール400は誘電体膜を硬化させるように構成され得る。他の例としては、前記処理モジュール400は誘電体膜をクリーニングするように構成され得る。他の例として、前記処理モジュール400は誘電体膜上の表面を変性するように構成され得る。処理モジュール400には、基板ホルダー420上に置かれた基板425の硬化、クリーニング及び/又は変性のための、クリーンで汚染のない環境を生成するように構成される処理チャンバ410を含む。処理モジュール400はさらに、前記誘電体膜を持つ基板をEM放射に暴露するように構成される放射源440を含む。
前記EM放射は、特定の放射波バンドに専用化され、前記特定の放射波バンド内の単一、マルチ、ナローバンド、又はブロードバンドEM波長を含む。例えば、前記放射源440は、IRスペクトル内でEM放射を生成するように構成されるIR放射源を含み得る。又は、例えば前記放射源440は、UVスペクトル内でEM放射を生成するように構成されるUV放射源を含み得る。この実施態様で、基板425のIR処理及びUV処理が、別々の処理モジュール内で実施され得る。
前記IR放射源は、ブロードバンドIR源(例えばポリクロマチック)、又はナローバンドIR源(例えばモノクロマチック)を含み得る。前記IR放射源は、1又はそれ以上のIRランプ、1又はそれ以上のIRLED、又は1又はそれ以上のIRレーザー(連続波長(CW)、チューナブル、又はパルス)、又はこれらの任意の組合せを含み得る。前記IRパワー密度は、約20W/cmまでの範囲であり得る。例えば、前記IRパワー密度は、約1W/cmから約20W/cmの範囲であり得る。
応用に依存して、前記IR放射波長は、約1ミクロンから約25ミクロンの範囲であり得る。又は、前記IR放射波長は、約8ミクロンから約14ミクロンの範囲であり得る。又は、前記IR放射波長は、約8ミクロンから約12ミクロンの範囲であり得る。又は、前記IR放射波長は、約9ミクロンから約10ミクロンの範囲であり得る。例えば、前記IR放射源はCOレーザーシステムを含み得る。さらに、例えば前記IR放射源は、約1ミクロンから約25ミクロンのスペクトル出力を持つ、セラミック素子又はシリコンカーバイド素子などのIR素子を含み、又は前記IR放射源は、半導体レーザー(ダイオード)、又はイオン、Ti:サファイア、又は最適化強度を持つ色素レーザーを含み得る。
前記UV放射は、ブロードバンドUV源(例えばポリクロマチック)又はナローバンドUV源(例えばモノクロマチック)が含まれる。前記UV放射源は、1又はそれ以上のUVランプ、1又はそれ以上のUVLED、又は1又はそれ以上のUVレーザー(連続波(CW)、チューナブル、又はパルス)、又はこれらの任意の組合せを含み得る。UV源放射は、例えばマイクロ波源、アーク放電、誘電バリア放電、又は電子衝撃生成から生成され得る。前記UVパワー密度は約0.1mW/cmから約2000mW/cmの範囲であり得る。
応用に依存して、前記UV波長は、約100ナノメートル(nm)から約600nmの範囲であり得る。又は前記UV放射は、約150nmから約400nmの範囲であり得る。又は前記UV放射は、約150nmから約300nmの範囲であり得る。又は前記UV放射は、約170nmから約240nmの範囲であり得る。又は前記UV放射は、約200nmから約350nmの範囲であり得る。又は前記UV放射は、約200nmから約240nmの範囲であり得る。例えば、前記UV放射源は、約180nmから約500nmのスペクトル出力を持つ、重水素(D)ランプなどの直流(DC)又はパルスランプであり、又は前記UV放射源は半導体レーザー(ダイオード)、(窒素)ガスレーザー、3倍波(又は4倍波)Nd:YAGレーザー、又は銅蒸気レーザーであり得る。
前記IR放射源又はUV放射源又はそれらの両方は、前記出力放射の1又はそれ以上の性質を調節するいくつかの光学装置を含み得る。例えば、それぞれの源はさらに、光ファイバ、光学レンズ、ビーム拡張装置、ビームコリメータなどを含み得る。かかる光学操作装置は光学分野の当業者に知られており、EM波伝播が本発明にとって適切である。
基板ホルダー420はさらに、温度制御システムを含み、基板425の温度を上昇させ及び/又は制御するように構成される。前記温度制御システムは、熱処理装置430の一部であってよい。前記基板ホルダー420は、電力源及び温度制御装置と組み合わされる基板ホルダー420に埋め込まれた1又はそれ以上の熱伝導加熱素子を含み得る。例えば、それぞれの加熱素子は、電力を供給するように構成される電力源へ組み合わされた抵抗加熱素子を含み得る。前記基板ホルダー420は、場合により1又はそれ以上の放熱加熱素子を含み得る。応用に依存して、前記基板425の温度は、例えば約20℃から約600℃までの範囲であり、望ましくは前記温度は約100℃から約600℃の範囲であり得る。例えば、基板425の温度は、約300℃から約500℃、又は約300℃から約450℃の範囲であり得る。又は、例えば基板425の温度は、約20℃から約300℃、又は約20℃から約250℃の範囲であり得る。
基板ホルダー420はさらに、基板425を放射源440に対して動かすために基板ホルダー420を直線移動又は回転、又は直線移動と回転の両方をさせるように構成される駆動システム435を含む。
さらに、前記基板ホルダー420は、基板をクランプするように構成されてもよく、又はされていなくてもよい。
図示されていないが、基板ホルダー420は複数の基板を支持するように構成され得る。
図10を参照して、処理モジュール400はさらに、ガス注入システム450を含み、これは前記処理チャンバ410と組み合わされ、基板425と反応性又は非反応性のガス又は処理ガスを処理チャンバ410内に導入するように構成され得る。前記ガス注入システム450は、基板425に向かう方向のジェット軸に沿ってガス又は蒸気のジェット454を生成するように構成されるガスノズル452を含み得る。前記ガス又は蒸気ジェット454は、放射源440からのEM放射442と同時でもよく、及び/又は交差してもよい。前記パージガス又は処理ガスは、例えば希ガスや窒素などの不活性ガスを含み得る。又は、前記パージガスは、例えばO、H、NH、C又はこれらの任意の組合せなどの上に挙げられた他のガスを含み得る。さらに、処理モジュール400はさらに、処理チャンバ410と組み合わされ、処理チャンバ410を真空にするように構成される真空ポンプシステムを含み得る。硬化処理の間、基板425は、真空下又は真空ではない条件下でパージガス雰囲気の対象とされ得る。
さらに図10に示されるように、処理モジュール400は、処理チャンバ410、基板ホルダー420、熱処理装置430、駆動システム435、放射源440、ガス注入システム450及び真空ポンプシステム455に組み合わされる制御装置460を含み得る。制御装置460は、マイクロプロセッサ、メモリ及び前記処理モジュール400へ交信し入力を開始し、同様に処理モジュール400からの出力をモニタするために十分な制御電圧を生成することが可能なデジタルI/Oポートを含む。前記メモリに記憶されたプログラムは、記憶された処理手順に従って前記処理モジュール400と相互作用させるために使用される。前記制御装置460は、任意の数の処理要素(410、420、430、435、440、450又は455)を設定するために使用され、及び前記制御装置460は、処理要素からのデータを集め、与え、処理し、記憶しかつ表示することができる。前記制御装置460は、1又はそれ以上の処理要素を制御するためにいくつかのアプリケーションを含み得る。例えば、制御装置460は、グラフィックユーザインタフェース(GUI)コンポーネント(図示されていない)を含み、これによりユーザが1又はそれ以上の処理要素をモニタし及び制御することを可能にするインタフェースを簡単に使用することができるようになる。
図11を参照して、基板上の誘電体膜を処理するように構成される処理モジュール500が、他の実施態様に従い示される。一例として、前記処理モジュール500は誘電体膜を硬化させるように構成され得る。他の例として、前記処理モジュール500は誘電体膜をクリーニングするように構成され得る。他の例として、前記処理モジュール500は、誘電体膜の表面を変性するように構成され得る。処理モジュール500は図10に示されるものと同じ要素を多く含み得る。処理モジュール500は、処理チャンバ410を含み、これは基板ホルダー420上に置かれる基板425を硬化するためのクリーンな汚染のない環境を生成するように構成される。処理モジュール500は、前記誘電体膜を持つ基板425を、EM放射を分けている第1の放射源へ暴露するように構成される第1の放射源540を含む。
処理モジュール500はさらに、前記誘電体膜を持つ基板425を、EM放射を分けている第2の放射源へ暴露するように構成される第2の放射源545を含む。それぞれの分けられているEM放射は、特定の放射波バンドに専用化され、特定の放射波バンド内の、単一、多重、ナローバンド又はブロードバンドEM波長を含む。例えば、前記第1の放射源540は、IRスペクトル内にEM放射を生成するように構成されるIR放射源を含む。さらに、例えば前記第2の放射源545は、UVスペクトル内にEM放射を生成するように構成されるUV放射源を含む。この実施態様では、基板425のIR処理とUV処理は単一の処理モジュール内で実施され得る。
さらに、前記ガス又は蒸気ジェット454は、第1の放射源540からの第1のEM照射542及び/又は第2の放射源545からの第2のEM照射547と同時でもよく、又は交差してもよい。
さらに図11に示されるように、処理モジュール500は、処理チャンバ410、基板ホルダー420、熱処理装置430、駆動システム435、第1の放射源540、第2の放射源545、ガス注入システム450及び真空ポンプシステム455に組み合わされる制御装置560を含み得る。制御装置560は、マイクロプロセッサ、メモリ及び前記処理モジュール500へ交信し入力を開始し、同様に処理モジュール500からの出力をモニタするために十分な制御電圧を生成することが可能なデジタルI/Oポートを含む。前記メモリに記憶されたプログラムは、記憶された処理手順に従って前記処理モジュール500と相互作用させるために使用される。前記制御装置560は、任意の数の処理要素(410、420、430、540、545、450又は455)を設定するために使用され、及び前記制御装置560は、処理要素からのデータを集め、与え、処理し、記憶しかつ表示することができる。前記制御装置460は、1又はそれ以上の処理要素を制御するためにいくつかのアプリケーションを含み得る。例えば、制御装置560は、グラフィックユーザインタフェース(GUI)コンポーネント(図示されていない)を含み、これによりユーザが1又はそれ以上の処理要素をモニタし及び制御することを可能にするインタフェースを簡単に使用することができるようになる。
種々のEM放射源の構成及びその光学システムは、係属中の2008年9月16日出願の米国特許出願第12/211598(名称「DIELECTRIC TREATMENT SYSTEM AND METHOD OF OPERATING」)、及び米国特許出願公開第2010/0065758号に開示されており、これらの全内容は参照されて本明細書に援用される。
図12を参照して、1つの実施態様による処理モジュール1200が模式的に示される。前記処理モジュール1200は、処理チャンバ1210を含み、これは基板ホルダー420上に置かれる基板1225を硬化するためのクリーンな汚染物のない環境を生成するように構成される。処理モジュール1200はさらに、基板1225をEM放射に暴露するように構成される放射源1230を含む。
前記放射源1230は、UVランプ1240及びUV放射1242をUVランプ1240から基板1225へ方向付けるために反射装置1250を含む。又は前記放射源1230はIRランプを含み得る。前記反射装置1250はダイクロイック反射装置1254を持ち、かつ前記UVランプ1240と基板1225の間に設けられた非吸収反射装置1252を持つ。前記非吸収反射装置1252は前記UVランプ1240からのUV放射1242を前記ダイクロイック反射装置1244の方へ反射させるように構成され、前記非吸収反射装置1252は、UV放射1244が前記UVランプ1240から基板1225へ方向付けられることを実質的に妨げる。前記ダイクロイック反射装置1254は、前記UVランプ1240により放射される前記UV放射スペクトルの少なくとも1部分を選択するために利用され得る。例えば、放射源1230は、ダイクロイックコーティングに依存して、約250nmから約450nm、又は約200nmから約300nm、又は約200nmから約290nmの範囲の放射を含むUV放射で基板1225を照射するように構成され得る。前記ダイクロイックコーティングは、1又はそれ以上の誘電体層を含み得る。
ダイクロイックコーティング上の反射によりフィルターすることは、前記UVランプから直接放射される最初の前方光線には通常影響は与えない。従って、ダイクロイック反射装置を用いる通常のUVランプはなお相当な量で、望ましい波長範囲の外側の放射を放射し、基板の過熱を生じ、ポロゲン除去には不十分である。本発明者は、前記望ましい放射スペクトルを得るために、ダイクロイックコーティングした反射装置上で第2の反射を用いることを提案する。
1つの実施態様では、前記非吸収反射装置1252は、図11で示されるように前記UVランプ1240から分離されている。他の実施態様では、前記非吸収反射装置1252は、前記UVランプ1240の下側に適用されたコーティングを含む。
前記非吸収反射装置1252は、凹形状反射表面を含み、これが前記ダイクロイック反射装置1254の凹形状反射表面に面するように方向付けされ、及び前記非吸収反射装置1252は、前記ダイクロイック反射装置1254と前記基板1225との間に設けられる。さらに、前記非吸収反射装置1252の前記凹形状反射表面の頂点と焦点、及び前記ダイクロイック反射装置1254の前記凹形状反射表面の頂点と焦点は、同一直線上にあり得る。さらに、前記非吸収反射装置1252及び/又は前記ダイクロイック反射装置1254は、円形、楕円形、放物線形又は双曲線形の断面を持つ円筒状又は球状の形状を含み得る。前記非吸収反射装置1252及び/又は前記ダイクロイック反射装置1254の前記形状、方向及び/又は位置は、基板への照射を最適化するために調節され得る。
前記処理モジュール1200は、前記反射装置1250及び前記基板1225との間にUVの窓1260を含み得る。
前記処理モジュール1200はさらに、ナローバンド波長を持つ実質的に単色EM放射又はIRレーザーを与えるIR源などのIR源を含み得る。さらに、前記処理モジュール1200はさらに、前記基板ホルダー1220と組み合わされ、前記基板1225の温度を制御するように構成される温度制御システムを含み得る。さらに、前記処理モジュール1200は、さらに、前記基板ホルダー1220と組み合わされ、基板ホルダー1220を直線移動又は回転、又は直線移動と回転の両方をさせるように構成される駆動システム1212を含む。さらに、前記処理モジュール1200はさらに、前記処理モジュール1210と組み合わされ、前記処理チャンバ1210へパージガス及び/又は処理ガスを導入するように構成されるガス供給システムを含む。例えば、前記ガス供給システムはノズルを含み、基板1225に向かう方向のジェット軸に沿って前記ノズルから放出されるガス又は蒸気ジェットを生成するように構成されるノズルを含む得る。
図13を参照して、1つの実施態様による処理モジュールが模式的に示される。前記処理モジュール1300は、処理チャンバ1310を含み、これは、基板ホルダー1320上に置かれる基板1325を硬化し、クリーニングし及び/又は変性するために、クリーンな汚染物のない環境を生成するように構成される。処理モジュール1300はさらに、基板1325をEM放射に暴露するための放射源1330を含む。
前記放射源1330は、UVランプ1340を含み、かつ前記UVランプから基板1325へUV照射を方向付けるための反射装置1350を含む。又は、前記放射源1330はIRランプを含み得る。前記反射装置1350はダイクロイック反射装置1354と、前記UV1340と基板1325との間に非吸収反射装置1352を持つ。前記非吸収反射装置1352は、前記UVランプ1340から前記ダイクロイック反射装置1354へUV照射1342を反射するように構成され、前記非吸収反射装置1352は、前記UVランプ1340から基板1325への直接照射を実質的に防止する。前記ダイクロイック反射装置1354は、前記UVランプ1340により放射される前記UV放射スペクトルの少なくとも1部分を選択するために利用され得る。例えば、放射源1330は、ダイクロイックコーティングのタイプに依存して、約250nmから約450nm、又は約200nmから約300nm、又は約200nmから約290nmの範囲の放射を含むUV放射で基板1325を照射するように構成され得る。前記ダイクロイックコーティングは、1又はそれ以上の誘電体層を含み得る。
図13に示されるように、前記ダイクロイック反射装置1354は、基板1325と並行でかつ基板1325の上に位置する第1の平面1361内に設けられ、かつ前記非吸収反射装置1252は複数の非吸収反射装置要素を含み、これらは基板1325に並行で基板1325の上及び前記第1の平面1361の下に位置する第2の平面1362内に設けられる。さらに、前記複数の非吸収反射装置要素と前記複数のダイクロイック反射装置要素が、それぞれの複数の非吸収反射装置要素とそれぞれの複数のダイクロイック反射要素との間に1対1の関係があるようなペア(対)として設けられる。
前記非吸収反射装置1352は、凹形状反射表面を含み、これが前記ダイクロイック反射装置1354の凹上反射表面に面するように方向付けされ、及び前記非吸収反射装置1352は、前記ダイクロイック反射装置1354と前記基板1325との間に設けられる。前記処理モジュール1300は、前記反射装置1350及び前記UV放射ランプ1340との間に設けられたUV窓1360を含み得る。
前記非吸収反射層1352及び/又はダイクロイック反射装置1354の形状、方向及び/又は位置は、基板の最適照射を与えるために調節され得る。
前記処理モジュール1300はさらに、ナローバンド波長を持つ実質的に単色EM放射又はIRレーザーを与えるIR源などのIR源を含み得る。さらに、前記処理モジュール1300はさらに、前記基板ホルダー1320と組み合わされ、前記基板1325の温度を制御するように構成される温度制御システムを含み得る。さらに、前記処理モジュール1300は、さらに前記基板ホルダー1320と組み合わされ、基板ホルダー1320を直線移動又は回転、又は直線移動と回転の両方をさせるように構成される駆動システム1312を含む。さらに、前記処理モジュール1300はさらに、前記処理モジュール1310と組み合わされ、前記処理チャンバ1310へパージガス及び/又は処理ガスを導入するように構成されるガス供給システムを含む。例えば、前記ガス供給システムはノズルを含み、基板1325に向かう方向のジェット軸に沿って前記ノズルから放出されるガス又は蒸気ジェットを生成するように構成されるノズルを含む得る。
これまで本発明のいくつかの例示のみが詳細に説明されてきたが、当業者は、本発明の新規な教示及び利点から実質的に離れることなく前記例示的実施態様において多くの修正・変更が可能である、ということを容易に理解するであろう。従って、それらの任意の変更などが本発明の範囲に含まれることが意図される。

Claims (60)

  1. 基板上に誘電体膜を統合する方法であり、前記方法は:
    基板上に誘電体膜を調製し、前記誘電体膜が約4以下の誘電率を持つlow−k誘電体膜であり;
    前記誘電体膜上に予備硬化処理を実施し;
    前記誘電体膜にリソグラフィー処理及びエッチング処理を用いてパターンを形成し;
    前記基板から望ましくない残渣を除去し;及び
    前記誘電体膜上に最終硬化処理を実施し、前記最終硬化処理が前記基板を紫外線(UV)放射で照射すること、を含む方法。
  2. 請求項1に記載の方法であり、前記予備硬化処理が、第1の基板温度で実施され、及び前記最終硬化処理が、前記第1の基板温度よりも高い第2の基板温度で実施される、方法。
  3. 請求項1に記載の方法であり、前記予備硬化処理の実施が次の、
    前記基板をUV放射で照射する;
    前記基板を赤外線(IR)放射で照射する;
    前記基板を、前記基板に接触する基板ホルダーの温度を上げることで加熱する;及び
    これらの2又はそれ以上の任意の組合せを実施する;
    ことの1又はそれ以上を任意の順で実施することを含む方法。
  4. 請求項3に記載の方法であり、前記UV放射が、約200nmと約350nmとの間の範囲での放射を含む、方法。
  5. 請求項3に記載の方法であり、前記IR放射が、約8ミクロンと約12ミクロンとの間の範囲での放射を含む、方法。
  6. 請求項1に記載の方法であり、前記最終硬化処理の実施が次の、
    前記基板をUV放射で照射すること;
    前記基板をIR放射で照射すること;
    前記基板を、前記基板に接触する基板ホルダーの温度を上げることで加熱する;及び
    これらの2又はそれ以上の任意の組合せを実施すること;
    の1又はそれ以上を任意の順で実施することを含む方法。
  7. 請求項6に記載の方法であり、前記UV放射が、約200nmと約350nmとの間の範囲のUV放射を含む、方法。
  8. 請求項6に記載の方法であり、前記IR放射が、約8ミクロンと約12ミクロンとの間の範囲のIR放射を含む、方法。
  9. 請求項6に記載の方法であり、さらに:
    前記最終硬化処理の間に約300℃と約450℃との間の基板温度を維持する、方法。
  10. 請求項1に記載の方法であり、前記望ましくない残渣を除去することが、アッシング処理又は湿式クリーニング処理又はそれらの両方を実施することを含む、方法。
  11. 請求項1に記載の方法であり、前記望ましくない残渣を除去することが、前記誘電体膜に前記パターンを含む前記基板をIR放射及び場合によりUV放射で照射することを含む、方法。
  12. 請求項11に記載の方法であり、前記望ましくない残渣の除去がさらに、前記基板に向かう方向のジェット軸に沿ってノズルからガス又は蒸気ジェット放出へ前記基板を暴露することを含む、方法。
  13. 請求項12に記載の方法であり、前記IR放射が、前記ジェット軸と交差する前記基板上にビームスポットを生じるIR放射のビームを含む、方法。
  14. 請求項1に記載の方法であり、前記望ましくない残渣の除去がさらに、基板温度を、約20℃と約250℃との間の温度に維持することを含む、方法。
  15. 請求項1に記載の方法であり、前記望ましくない残渣が、表面吸着物、粒子状物、湿気、エッチング残渣、望ましくない炭素含有残渣、アモルファス炭素含有残渣、炭化水素含有残渣、フッ化炭素含有残渣、ハロゲン含有残渣又はポリマー含有残渣、又はこれらの2又はそれ以上の任意の組合せを含む、方法。
  16. 請求項1に記載の方法であり、さらに:
    前記望ましくない残渣の除去に続いて、かつ前記最終硬化処理の前にシリル化処理を実施する、方法。
  17. 請求項16に記載の方法であり、前記シリル化処理がさらに、前記基板をUV放射で照射することを含む、方法。
  18. 請求項16に記載の方法であり、前記シリル化は、シラン化合物、シラザン化合物、HMDS又はTMCS、又はこれらの2又はそれ以上の任意の組合せを含む、方法。
  19. 請求項16に記載の方法であり、前記シリル化処理が、約200℃と約400℃との間に基板温度を維持することを含む、方法。
  20. 請求項1に記載の方法であり、さらに:
    前記望ましくない残渣の除去に続いて、かつ前記最終硬化処理の実施の前に、前記基板を脱水することを含む、方法。
  21. 基板をクリーニングする方法であり、前記方法は:
    1又はそれ以上の層又は構造を含む基板の領域を赤外線(IR)放射及び場合により紫外線(UV)放射で照射して、前記1又はそれ以上の層又は構造から望ましくない材料又は残渣を除去することを含む、方法。
  22. 請求項21に記載の方法であり、さらに:
    前記領域の少なくとも1部分を、前記基板に向く方向のジェット軸に沿ってガスノズルから放出されるガス又は蒸気ジェットに暴露することを含む、方法。
  23. 請求項22に記載の方法であり、前記ガス又は蒸気ジェットが、前記領域の少なくとも一部と反応性であるように選択される、方法。
  24. 請求項22に記載の方法であり、前記ガス又は蒸気ジェットが、He、Ne、Ar、Kr、Xe、N、H、NH、CO、CO又はO、又はこれらの2又はそれ以上の任意の組合せを含む、方法。
  25. 請求項22に記載の方法であり、前記IR放射が、前記基板上にビームスポットを持つIRビームを含み、前記IRビームが前記ビームスポットで前記ジェット軸と交差する、方法。
  26. 請求項22に記載の方法であり、前記暴露が、前記照射の後か又は同時かである、方法。
  27. 請求項21に記載の方法であり、前記1又はそれ以上の層又は構造が、パターン化マスク層及びエッチング処理を用いて形成されるパターン化構造を含む、方法。
  28. 請求項21に記載の方法であり、前記1又はそれ以上の層又は構造が、low−k層、超low−k層、フォトレジスト層、反射防止コーティング(ARC)層、有機平坦化層(OPL)、ソフトマスク層又はハードマスク層、又はこれらの2又はそれ以上の任意の組合せを含む、方法。
  29. 請求項21に記載の方法であり、前記照射が、前記場合によるUV放射と同時に、前記場合によるUV放射の前に又は前記場合によるUV放射の後に、又はこれらの2又はそれ以上の任意の組合せでIR照射することを含む、方法。
  30. 請求項21に記載の方法であり、前記IR放射が実質的に、ナローバンド波長を持つ単色電磁(EM)放射を含む、方法。
  31. 請求項21に記載の方法であり、前記IR放射がIRレーザーを含む、方法。
  32. 請求項21に記載の方法であり、さらに:
    前記IR放射のためのスペクトル内容を選択することを含み、前記スペクトル内容が、
    前記1又はそれ以上の層又は構造の残渣の少なくとも1部分又は前記材料又は望ましくない残渣の少なくとも1部分が吸収して除去されるように選択される、方法。
  33. 請求項21に記載の方法であり、前記IR放射が、約8ミクロンから約12ミクロンの間の範囲のIR放射を含む、方法。
  34. 請求項21に記載の方法であり、さらに:
    基板を、約20℃と約250℃との間の温度に維持することを含む、方法。
  35. 請求項21に記載の方法であり、前記場合によるUV放射が、約200nmと約350nmとの間の範囲のUV放射を含む、方法。
  36. 基板を処理するための処理モジュールであり、前記処理モジュールが:
    処理チャンバ;
    前記処理チャンバと組み合わされ、かつ基板を支持するように構成される基板ホルダー;
    前記処理チャンバと組み合わされ、かつ前記基板を電磁(EM)放射に暴露するように構成される放射源を含み、前記放射源が、前記基板上にビームスポットを持つIRビームを生成するように構成される赤外線(IR)源を含み;及び
    前記処理チャンバと組み合わされるガスノズルを含み、かつ前記基板に向かう方向のジェット軸に沿って前記ガスノズルから放出されるガス又は蒸気ジェットを生成し、かつ前記ビームスポットと交差するように構成されるガス注入システムを含む、処理モジュール。
  37. 請求項36に記載の処理モジュールであり、前記放射源がさらに紫外線(UV)放射源を含む、処理モジュール。
  38. 請求項36に記載の処理モジュールであり、前記放射源がIRレーザーを含む、処理モジュール。
  39. 請求項26に記載の処理モジュールであり、さらに:
    前記処理チャンバと組み合わされ、かつIRビームを前記基板を横切るように構成される放射スキャン装置を含む、処理モジュール。
  40. 請求項36に記載の処理モジュールであり、さらに:
    前記基板ホルダーと組み合わされ、かつ前記基板の温度を制御するように構成される温度制御システムを含む、処理モジュール。
  41. 基板上の誘電体膜を処理するための処理モジュールであり、前記処理モジュールが:
    処理チャンバ;
    前記処理チャンバと組み合わされ、基板を支持するように構成される基板ホルダー;及び
    前記処理チャンバと組み合わされ、前記誘電体膜を電磁(EM)放射に暴露するように構成される放射源を含み、
    前記放射源が紫外線(UV)源を含み、前記UV源が:
    UVランプ及び
    前記基板へ前記ランプからの反射されたUV放射を方向付ける反射装置を含み、前記反射装置がダイクロイック反射装置及び前記UVランプと前記基板との間に設けられる非吸収反射装置を含み、かつ前記UVランプから前記ダイクロイック反射装置へUV放射を反射するように構成され、ここで前記非吸収反射装置が前記UVランプから前記基板への直接UV放射を実質的に抑制する、処理モジュール。
  42. 請求項41に記載の処理モジュールであり、前記基板が、約200nm(ナノメートル)から約290nmの範囲の放射波長を含む前記反射されたUV放射へ暴露される、処理モジュール。
  43. 請求項41に記載の処理モジュールであり、前記非吸収反射装置が前記UVランプから分離されている、処理モジュール。
  44. 請求項41に記載の処理モジュールであり、前記非吸収反射装置が、前記UVランプの下側に適用されるコーティングを含む、処理モジュール。
  45. 請求項41に記載の処理モジュールであり、前記非吸収反射装置が、前記ダイクロイック反射装置の少なくとも1つの凹形状反射表面に面するように方向付けられている凹形状反射表面を持つ、処理モジュール。
  46. 請求項45に記載の処理モジュールであり、前記非吸収反射装置が、前記ダイクロイック反射装置と前記基板との間に設けられる、処理モジュール。
  47. 請求項45に記載の処理モジュールであり、前記非吸収反射装置の前記凹形状反射表面の第1の頂点と焦点、及び前記ダイクロイック反射装置の前記凹形状反射表面の第2の頂点と焦点とが、同一直線上にある、処理モジュール。
  48. 請求項41に記載の処理モジュールであり、前記ダイクロイック反射装置が、円形、楕円形、放物線又は双曲線状の断面を持つ円筒又は球形の形状を含む、処理モジュール。
  49. 請求項41に記載の処理モジュールであり、前記非吸収反射装置が、円形、楕円形、放物線又は双曲線状の断面を持つ円筒又は球形の形状を含む、処理モジュール。
  50. 請求項41に記載の処理モジュールであり、前記ダイクロイック反射装置が複数のダイクロイック反射要素を含み、これらが前記基板と並行でかつ前記基板の上の第1の平面内に設けられ、及び前記非吸収反射装置が複数の非吸収反射要素を含み、これらが前記基板と並行でかつ前記基板の上及び前記第1の平面の下に設けられる、処理モジュール。
  51. 請求項50に記載の処理モジュールであり、前記複数の非吸収反射要素及び前記複数のダイクロイック反射要素が対で設けられ、前記非吸収反射要素のそれぞれと、前記ダイクロイック反射要素のそれぞれとの間に1対1の関係がある、処理モジュール。
  52. 請求項41に記載の処理モジュールであり、前記放射源がさらに、前記反射装置と前記基板との間に設けられるUV窓を含む、処理モジュール。
  53. 前記41に記載の処理モジュールであり、前記放射源はさらに、前記反射装置と前記基板との間に設けられるUV窓を含む、処理モジュール。
  54. 請求項41に記載の処理モジュールであり、前記放射源がさらに、赤外線(IR)源を含む、処理モジュール。
  55. 請求項54に記載の処理モジュールであり、前記IR源が、実質的にナローバンド波長を持つ単色電磁(EM)放射を与える、処理モジュール。
  56. 請求項54に記載の処理モジュールであり、前記IR源がIRレーザーを含む、処理モジュール。
  57. 請求項41に記載の処理モジュールであり、さらに:
    前記基板ホルダーと組み合わされ、かつ前記基板の温度を制御するように構成される温度制御システムを含む、処理モジュール。
  58. 請求項41に記載の処理モジュールであり、さらに:
    前記基板ホルダーに組み合わされ、かつ前記基板ホルダーを直線移動又は回転、又は直線移動及び回転させるように構成される駆動システムを含む、処理モジュール。
  59. 請求項41に記載の処理モジュールであり、さらに:
    前記処理チャンバと組み合わされ、かつ前記処理チャンバへ処理ガスを導入するように構成されるガス供給システムを含む、処理モジュール。
  60. 請求項59に記載の処理モジュールであり、前記供給システムがノズルを含み、前記ノズルが、前記基板に向かう方向のジェット軸に沿って前記ノズルから放出されるガス又は蒸気ジェットを生成するように構成される、処理モジュール。
JP2013502689A 2010-03-29 2011-03-28 低誘電率絶縁体を統合するための方法 Pending JP2013528928A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US31871910P 2010-03-29 2010-03-29
US61/318,719 2010-03-29
US13/072,663 US20110232677A1 (en) 2010-03-29 2011-03-25 Method for cleaning low-k dielectrics
US13/072,668 2011-03-25
US13/072,668 US8242460B2 (en) 2010-03-29 2011-03-25 Ultraviolet treatment apparatus
US13/072,663 2011-03-25
US13/072,662 2011-03-25
US13/072,662 US9017933B2 (en) 2010-03-29 2011-03-25 Method for integrating low-k dielectrics
PCT/US2011/030133 WO2011123373A1 (en) 2010-03-29 2011-03-28 Method for integrating low-k dielectrics

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016102785A Division JP2016167633A (ja) 2010-03-29 2016-05-23 低誘電率絶縁体を集積するための方法

Publications (1)

Publication Number Publication Date
JP2013528928A true JP2013528928A (ja) 2013-07-11

Family

ID=44654944

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013502689A Pending JP2013528928A (ja) 2010-03-29 2011-03-28 低誘電率絶縁体を統合するための方法
JP2016102785A Pending JP2016167633A (ja) 2010-03-29 2016-05-23 低誘電率絶縁体を集積するための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016102785A Pending JP2016167633A (ja) 2010-03-29 2016-05-23 低誘電率絶縁体を集積するための方法

Country Status (5)

Country Link
US (3) US8242460B2 (ja)
JP (2) JP2013528928A (ja)
KR (1) KR20130014554A (ja)
TW (1) TWI464805B (ja)
WO (1) WO2011123373A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017086581A1 (ko) * 2015-11-16 2017-05-26 (주)쎄미시스코 연기 제거 광 소결장치

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8916054B2 (en) * 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101368818B1 (ko) 2012-05-03 2014-03-04 에이피시스템 주식회사 기판 처리 장치
TWI581331B (zh) 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9029835B2 (en) * 2012-12-20 2015-05-12 Intel Corporation Epitaxial film on nanoscale structure
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
SG11201600447YA (en) * 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
WO2015034690A1 (en) * 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103962346B (zh) * 2014-05-21 2016-08-24 深圳市华星光电技术有限公司 可调整紫外光照射能量的紫外光清洗基板的方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102342848B1 (ko) * 2017-04-21 2021-12-23 삼성전자주식회사 레이저 어닐링 장비
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10754067B2 (en) 2017-05-18 2020-08-25 GM Global Technology Operations LLC Textured self-cleaning film system and method of forming same
US10583428B2 (en) 2017-05-18 2020-03-10 GM Global Technology Operations LLC Self-cleaning film system and method of forming same
US10556231B2 (en) 2017-05-18 2020-02-11 GM Global Technology Operations LLC Self-cleaning film system and method of forming same
US10429641B2 (en) 2017-05-31 2019-10-01 GM Global Technology Operations LLC Light-enhanced self-cleaning film system and method of forming same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102573280B1 (ko) * 2018-03-21 2023-09-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08321480A (ja) * 1994-11-07 1996-12-03 Clytec Corp 表面の処理
JP2004096080A (ja) * 2002-06-05 2004-03-25 Samsung Electronics Co Ltd 金属間絶縁膜のパターン形成方法
JP2005236144A (ja) * 2004-02-20 2005-09-02 Fujitsu Ltd ドライエッチング方法
JP2007321092A (ja) * 2006-06-02 2007-12-13 Ulvac Japan Ltd 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
JP2008124275A (ja) * 2006-11-13 2008-05-29 Fujitsu Ltd 半導体装置の製造方法
JP2008297550A (ja) * 2002-02-27 2008-12-11 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその製造方法、並びに電子部品
JP2009503889A (ja) * 2005-08-03 2009-01-29 東京エレクトロン株式会社 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム
JP2009520342A (ja) * 2005-11-09 2009-05-21 東京エレクトロン株式会社 誘電体膜を硬化させる多段階システム及び方法
JP2009194072A (ja) * 2008-02-13 2009-08-27 Toshiba Corp 半導体装置の製造方法
WO2009111473A2 (en) * 2008-03-06 2009-09-11 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024968A (en) * 1988-07-08 1991-06-18 Engelsberg Audrey C Removal of surface contaminants by irradiation from a high-energy source
JPH03125488A (ja) * 1989-10-11 1991-05-28 Oki Electric Ind Co Ltd 半導体発光素子およびその製造方法
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JPH0912305A (ja) * 1995-06-26 1997-01-14 Murata Mfg Co Ltd ペロブスカイト構造を有する金属酸化物膜の製造方法、及び薄膜コンデンサの製造方法
US6413883B1 (en) * 1996-03-04 2002-07-02 Symetrix Corporation Method of liquid deposition by selection of liquid viscosity and other precursor properties
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW466636B (en) * 1998-01-20 2001-12-01 Allied Signal Inc Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6136729A (en) * 1998-08-12 2000-10-24 Advanced Micro Devices, Inc. Method for improving semiconductor dielectrics
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
JP2000306271A (ja) * 1999-04-22 2000-11-02 Sony Corp 光学記録媒体およびその製造方法
US6509259B1 (en) * 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP3769426B2 (ja) * 1999-09-22 2006-04-26 東京エレクトロン株式会社 絶縁膜形成装置
US6457478B1 (en) * 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
JP2001160548A (ja) * 1999-12-01 2001-06-12 Nec Corp 半導体装置製造方法および半導体装置製造システム
JP2001214127A (ja) * 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
JP2004509468A (ja) 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6689218B2 (en) 2001-10-23 2004-02-10 General Electric Company Systems for the deposition and curing of coating compositions
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003303799A (ja) * 2002-04-10 2003-10-24 Sony Corp 表面洗浄装置および表面洗浄方法
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6649921B1 (en) * 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
KR100541179B1 (ko) 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004311958A (ja) 2003-03-26 2004-11-04 Seiko Epson Corp 表面処理方法、表面処理装置、表面処理基板及び電気光学装置並びに電子機器
US6846748B2 (en) * 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
US7622399B2 (en) * 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
TWI238026B (en) * 2003-12-19 2005-08-11 Ind Tech Res Inst Structure and fabricating method of a high-dielectric film formed on an organic substrate
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7291550B2 (en) * 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US6962871B2 (en) * 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US20050284568A1 (en) * 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7166963B2 (en) * 2004-09-10 2007-01-23 Axcelis Technologies, Inc. Electrodeless lamp for emitting ultraviolet and/or vacuum ultraviolet radiation
US7081638B1 (en) * 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
WO2006132655A1 (en) 2005-06-03 2006-12-14 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
US7893703B2 (en) * 2005-08-19 2011-02-22 Kla-Tencor Technologies Corp. Systems and methods for controlling deposition of a charge on a wafer for measurement of one or more electrical properties of the wafer
US7405168B2 (en) * 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20070264786A1 (en) 2006-05-11 2007-11-15 Neng-Kuo Chen Method of manufacturing metal oxide semiconductor transistor
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
JP4800235B2 (ja) * 2007-02-14 2011-10-26 東京エレクトロン株式会社 処理方法
JP4922858B2 (ja) * 2007-07-30 2012-04-25 株式会社東芝 パターン形成方法及び洗浄装置
US20090075491A1 (en) 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100068897A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100067886A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
TW201030469A (en) * 2008-12-25 2010-08-16 Jsr Corp Negative-tone radiation-sensitive composition, cured pattern forming method, and cured pattern
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08321480A (ja) * 1994-11-07 1996-12-03 Clytec Corp 表面の処理
JP2008297550A (ja) * 2002-02-27 2008-12-11 Hitachi Chem Co Ltd シリカ系被膜形成用組成物、シリカ系被膜及びその製造方法、並びに電子部品
JP2004096080A (ja) * 2002-06-05 2004-03-25 Samsung Electronics Co Ltd 金属間絶縁膜のパターン形成方法
JP2005236144A (ja) * 2004-02-20 2005-09-02 Fujitsu Ltd ドライエッチング方法
JP2009503889A (ja) * 2005-08-03 2009-01-29 東京エレクトロン株式会社 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム
JP2009520342A (ja) * 2005-11-09 2009-05-21 東京エレクトロン株式会社 誘電体膜を硬化させる多段階システム及び方法
JP2007321092A (ja) * 2006-06-02 2007-12-13 Ulvac Japan Ltd 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
JP2008124275A (ja) * 2006-11-13 2008-05-29 Fujitsu Ltd 半導体装置の製造方法
JP2009194072A (ja) * 2008-02-13 2009-08-27 Toshiba Corp 半導体装置の製造方法
WO2009111473A2 (en) * 2008-03-06 2009-09-11 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017086581A1 (ko) * 2015-11-16 2017-05-26 (주)쎄미시스코 연기 제거 광 소결장치

Also Published As

Publication number Publication date
US20110232677A1 (en) 2011-09-29
US20110233430A1 (en) 2011-09-29
US9017933B2 (en) 2015-04-28
KR20130014554A (ko) 2013-02-07
TW201203362A (en) 2012-01-16
JP2016167633A (ja) 2016-09-15
TWI464805B (zh) 2014-12-11
WO2011123373A1 (en) 2011-10-06
US8242460B2 (en) 2012-08-14
US20110237080A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
JP2016167633A (ja) 低誘電率絶縁体を集積するための方法
US10068765B2 (en) Multi-step system and method for curing a dielectric film
TWI431689B (zh) 介電膜之硬化方法
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
US8895942B2 (en) Dielectric treatment module using scanning IR radiation source
JP5490024B2 (ja) 有孔性低誘電率誘電膜の硬化方法
US20100065758A1 (en) Dielectric material treatment system and method of operating
KR101690804B1 (ko) 유전체 재료 처리 시스템 및 작동 방법
US20100068897A1 (en) Dielectric treatment platform for dielectric film deposition and curing
US20100067886A1 (en) Ir laser optics system for dielectric treatment module
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150804

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160322

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160523

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20161101