JP2009520342A - 誘電体膜を硬化させる多段階システム及び方法 - Google Patents

誘電体膜を硬化させる多段階システム及び方法 Download PDF

Info

Publication number
JP2009520342A
JP2009520342A JP2008540027A JP2008540027A JP2009520342A JP 2009520342 A JP2009520342 A JP 2009520342A JP 2008540027 A JP2008540027 A JP 2008540027A JP 2008540027 A JP2008540027 A JP 2008540027A JP 2009520342 A JP2009520342 A JP 2009520342A
Authority
JP
Japan
Prior art keywords
dielectric film
drying
curing
processing system
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008540027A
Other languages
English (en)
Other versions
JP5496512B2 (ja
Inventor
リウ,ジュンジュン
リー,エリック,エム
トーマ,ドレル,エル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009520342A publication Critical patent/JP2009520342A/ja
Application granted granted Critical
Publication of JP5496512B2 publication Critical patent/JP5496512B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/062Pretreatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Solid Materials (AREA)

Abstract

誘電体膜を硬化させる多段階システム及び方法。当該システムは、前記誘電体膜中の、たとえば水分のような汚染物の量を減少させるように備えられた乾燥システムを有する。当該システムはさらに、前記誘電体膜を硬化させるために、前記乾燥システムと結合し、かつ紫外(UV)放射線及び赤外(IR)放射線によって前記誘電体膜を処理するように備えられた硬化システムを有する。

Description

本発明は、誘電体膜を処理する多段階システム及び方法に関する。より詳細には本発明は、誘電体膜の乾燥及び硬化をその場で行う多段階システム及び方法に関する。
半導体技術の当業者に知られているように、相互接続の遅延は、集積回路(IC)の速度及び性能の改善を制限する、ドライブ内の主要な因子である。相互接続の遅延を最小化する1つの方法は、IC素子内の金属ワイヤ用の絶縁体に低誘電率(low-k)材料を用いることによって相互接続のキャパシタンスを減少させることである。よって近年、low-k材料は、たとえば二酸化シリコンのような比較的高い誘電率を有する絶縁材料に取って代わるものとして開発されてきた。特にlow-k膜は、半導体素子中の金属ワイヤ間の層間及び層内膜に用いられる。それに加えて絶縁材料の誘電率をさらに減少させるため、孔を有する材料膜すなわち有孔性low-k誘電体膜が形成される。係るlow-k膜は、フォトレジストの塗布と同様のスピン・オン誘電体成膜(SOD)法、又は化学気相成長法(CVD)によって成膜されて良い。よってlow-k材料の使用は、既存の半導体製造プロセスにすぐ適応できる。
Low-k材料は従来の二酸化シリコンほどの強度はない。しかもLow-k材料の機械的強度は孔の導入によってさらに低下する。プラズマ処理中に有孔性low-k膜は容易に損傷を受けるので、機械強度を向上させるプロセスが必要となると思われる。有孔性low-k誘電体の材料強度を改善することは、集積を成功させる上で必要であるものと理解されている。機械強度を向上させるため、有孔性low-k膜をより強くし、かつ集積に適したものにするのに代替硬化方法が利用される。
ポリマーの硬化には、たとえばスピン・オン成膜(SOD)法又は気相成長法(化学気相成長法(CVD)のような)を用いて成膜される薄膜が処理されることで、その膜内で架橋が生じるプロセスが含まれる。硬化プロセス中では、フリーラジカルの重合は、架橋の基本経路であると理解されている。ポリマー鎖が架橋することで、たとえばヤング率、膜の硬度、破壊靱性、及び界面接合のような機械的特性が改善されることで、low-k膜の製造強度が改善される。
非常に小さな誘電率を有する有孔性誘電体膜を形成するのには様々な方法があるので、成膜後処理(硬化)の対象は膜によって変化して良い。そのような対象にはたとえば、水分の除去、溶媒の除去、有孔性絶縁膜中に孔を形成するのに用いられるポロジェンの燃焼除去、係る膜の機械的特性の改善等が含まれる。
米国特許第5738915号明細書 米国特許第5714437号明細書
低誘電率(low-k)材料は従来、CVD成膜された膜については300℃から400℃の温度範囲で熱的に硬化される。たとえば約2.5未満の誘電率を有する強くて密なlow-k膜の製造には、加熱炉による硬化で十分である。しかし熱処理(又は熱硬化)によって実現可能な架橋の程度は、強固な相互接続構造に適切な強度を有する膜の製造にはもはや不十分である。
熱硬化中、適当な量のエネルギーが膜に供給され、その際その膜は損傷を受けないことは特記すべきことである。しかし関心温度範囲内では、ほんのわずかな量のフリーラジカルしか生成できない。基板に熱を付与する際の熱エネルギー損失及び周辺環境中での熱の損失により、実際にはほんのわずかな量の熱エネルギーしか、硬化するlow-k膜中に吸収できない。従って典型的なlow-k膜の加熱炉硬化では、高温及び長い硬化時間が必要となる。熱収支が高い場合でさえも、熱硬化中での開始剤の生成が不足し、及び成膜されたlow-k膜中に多量のメチル終端が存在することで、必要程度の架橋を実現させることが非常に困難になると考えられる。
本発明の一の態様は、誘電体膜の処理に関する従来技術に係る上記問題及び他の問題を緩和又は解決することを可能にする。
本発明の他の態様は、誘電体膜を硬化させるため、その誘電体膜を処理することを可能にする。
本発明のさらに他の態様は、相互に接続した複数のプロセスモジュールを用いて、多段階乾燥及び硬化プロセスをその場で実行することによって、誘電体膜の処理を可能にする。
これら及び/又は他の態様の如何なるものも、本発明によって誘電体膜を処理する処理システムによって供されて良い。一の実施例では、基板上の誘電体膜を処理する処理システムは、前記誘電体膜上又は該膜中の汚染物量を減少させる乾燥プロセスを実行するように備えられた乾燥システム、及び、該乾燥システムと結合して硬化プロセスを実行するように備えられた硬化システムを有する。前記硬化システムは、前記誘電体膜を紫外(UV)放射線に曝露するように備えられたUV放射線源、及び、誘電体膜を赤外(IR)放射線に曝露するように備えられたIR放射線源を有する。当該システムは、前記乾燥システム及び前記硬化システムと結合する搬送システムを有する。前記搬送システムは、真空条件下で、前記乾燥システムと前記硬化システムの間で基板の交換を行うように備えられている。
他の実施例では、基板上の誘電体膜を処理する方法及びコンピュータでの読み取りが可能な媒体は、前記基板を乾燥システム内に設ける手順、前記誘電体膜上又は該膜中の汚染物量を(部分的に)除去するための乾燥プロセスに従って前記誘電体膜を乾燥する手順、真空条件を維持したままで前記基板を前記乾燥システムから硬化システムへ搬送する手順、及び前記誘電体膜をUV放射線とIR放射線に曝露することによって前記誘電体膜を硬化する手順を有する。
以降の説明では、本発明の完全な理解を助けるため、そして限定ではなく説明目的で、たとえば処理システムの具体的構成及び様々な部品の説明といったような具体的詳細について述べる。しかし本発明は、これらの具体的詳細から逸脱した他の実施例でも実施可能であることに留意して欲しい。
発明者らは、代替硬化法が熱硬化の欠点の一部を解決することを発見した。たとえば代替硬化法は、熱硬化プロセスと比較してより効率的にエネルギー付与を行う。たとえば加速電子、イオン、若しくは中性子のようなエネルギー粒子又はエネルギー光子の状態で見いだされる高いエネルギー準位は、low-k膜中の電子を容易に励起することができるので、効率的に化学結合を破壊して側鎖を解離する。これらの代替硬化法は、架橋開始剤(フリーラジカル)の生成を促進して、実際の架橋に必要なエネルギー付与を改善することができる。その結果、架橋の程度は、熱収支が減少しても増大しうる。
それに加えて発明者らは、膜の強度が超low-k(ULK)誘電体膜(約2.5未満の誘電率)の集積にとって重要な問題となるので、代替硬化法が、係る膜の機械的特性を改善しうると考えた。たとえば電子ビーム(EB)、紫外(UV)放射線、赤外(IR)放射線、及びマイクロ波(MW)放射線が、機械的強度を改善するためにULK膜を硬化させるのに用いられて良い。その一方でそのULK膜の誘電特性及び疎水性は犠牲にならない。
しかしたとえEB、UV、IR及びMW硬化全てが独自の利点を有するとしても、これらの方法には限界がある。たとえばEBやUVのような高エネルギー硬化源は、架橋するのにフリーラジカルよりも多いフリーラジカルを生成する高いエネルギー準位を供することができる。それにより相補的に基板を加熱しながら、機械的特性が顕著に改善される。他方電子及びUV光子は、化学結合を無差別に解離することで、望ましい膜の電気及び物理的特性に不利な影響を及ぼす恐れがある。不利な影響とはたとえば、疎水性の喪失、膜の残留応力の増大、有孔性構造の破壊、膜の緻密化、及び誘電率の上昇といったものである。さらにたとえばIRやMW硬化のような低エネルギーの硬化源は、大抵の場合において熱付与効率を顕著に改善することができる。しかしその一方で低エネルギーの硬化源は、たとえば表面緻密化(IR)、及びアーク放電又はトランジスタの損傷(MW)といった副作用を有する。
ここで図を参照する。図中同様の参照番号は、複数の図を通じて同一又は対応する部分を示す。図1Aは、本発明の一の実施例による、基板上の誘電体膜を処理する処理システム1を図示している。当該処理システム1は、乾燥システム10及び該乾燥システム10と結合する硬化システム20を有する。たとえば乾燥システム10は、誘電体膜中の1つ以上の汚染物を除去又は十分なレベルにまで減少させるように備えられて良い。汚染物にはたとえば、水分、溶媒、ポロジェン、又は硬化システム20内で実行される硬化プロセスを妨害する恐れのある他の汚染物が含まれる。
たとえば乾燥プロセス前後での誘電体膜内に存在する特定の汚染物の顕著な減少には、その特定の汚染物の約10%から約100%の減少が含まれる。汚染物が減少するレベルは、フーリエ変換赤外(FTIR)分光法又は質量分析法を用いて測定可能である。あるいはその代わりに、たとえば誘電体膜内に存在する特定の汚染物の顕著な減少とは、約50%から約100%の範囲であって良い。あるいはその代わりに、たとえば誘電体膜内に存在する特定の汚染物の顕著な減少とは、約80%から約100%の範囲であって良い。
さらに図1Aを参照すると、硬化システム20は、たとえば誘電体膜の機械的特性を改善するため、誘電体膜を硬化させるように備えられて良い。そのような硬化は、その誘電体膜内で架橋を(部分的に)生じさせることによって行われる。硬化システム20は2つ以上の放射線源を有して良い。その2つ以上の放射線源は、多種類の波長の電磁(EM)放射線に誘電体膜を有する基板を曝露するように備えられている。たとえば前記2つ以上の放射線源は、赤外(IR)放射線源及び紫外(UV)放射線源を有して良い。基板をIR放射線とUV放射線の両方に曝露するのは同時に、順次に、又は互いに重なった状況で行われて良い。順次曝露中、UV放射線への基板の曝露は、たとえばIR放射線への基板の曝露に先んじて良いし、又はその逆であっても良い。
たとえばIR放射線源は、約1μmから約25μm範囲のIR波長帯源を有して良い。そのIR波長帯源は、約8μmから約14μm範囲であることが望ましい。それに加えてたとえばUV放射線源は、約100ナノメートル(nm)から約600nm範囲の放射線を発生させるUV波長帯源を有して良い。そのUV波長帯源は、約200nmから約400nm範囲であることが望ましい。
発明者らは、エネルギー準位(hν)及びエネルギーが誘電体膜に供給される割合(q’)は、硬化プロセスの各異なる段階中に変化することを認識していた。硬化プロセスには、架橋開始剤の生成、ポロジェンの燃焼除去、ポロジェンの分解、膜の架橋、及び任意で架橋開始剤の拡散が含まれて良い。各機構は、エネルギーが誘電体膜へ供給されるような各異なるエネルギー準位及び割合を必要とすると考えられる。たとえば母体材料の硬化中、架橋開始剤は、母体材料内でのフォトン及びフォノン誘起結合解離を用いて生成されて良い。結合の解離は、約300又は400nm以下の波長を有するエネルギー準位を必要とするものと考えられる。それに加えてたとえば、ポロジェンの燃焼除去は、感光体によるフォトン吸収によって促進されて良い。ポロジェンの燃焼除去は、たとえば約300から400nm以下の波長のようなUV波長を必要とするものと考えられる。さらにたとえば、架橋は、結合の形成及び再構成に十分な熱エネルギーによって促進されて良い。結合の形成と再構築のいずれも約9μmの波長を有し、その波長はシリコンベースのオルガノシリケートlow-k材料の主吸収ピークに対応する。
被処理基板は、半導体、金属導体、又は上に誘電体膜が形成される他の基板であって良い。(乾燥及び/若しくは硬化前、乾燥及び/若しくは硬化後の)誘電体膜の誘電率は、約4である(たとえば熱二酸化シリコンの誘電率は3.8から3.9の範囲であって良い)SiO2の誘電率未満であって良い。本発明の様々な実施例では、(乾燥及び/若しくは硬化前、乾燥及び/若しくは硬化後の)誘電体膜の誘電率は、3.0未満であって良く、2.5未満であって良く、又は1.6から2.7の範囲であって良い。誘電体膜は、low-k膜又は超low-k膜として記載されて良い。誘電体膜はたとえば2相の有孔性low-k膜を有して良い。その2相の有孔性low-k膜の誘電率は、ポロジェンが燃焼除去された後よりも燃焼除去される前の方が大きい。それに加えて誘電体膜は水分及び/又は他の汚染物を有して良い。水分及び/又は他の汚染物のため、乾燥及び/又は硬化前の膜の誘電率は、乾燥及び/又は硬化後の値よりも大きくなる。
誘電体膜は、化学気相成長(CVD)法又はスピン・オン誘電体成膜(SOD)法を用いて形成されて良い。SOD法は、東京エレクトロン株式会社から販売されているクリーントラック(Clean Track)ACT 8 SOD及びACT 12 SODコーティングシステムによって提供される。クリーントラックACT 8(200mm)及びACT 12(300mm)コーティングシステムは、SOD材料のコーティング、ベーキング、及び硬化用ツールを供する。トラックシステムは、100mm、200mm、300mm及びそれ以上のサイズの基板を処理するように備えられて良い。スピン・オン誘電体成膜法とCVD誘電体成膜法の当業者に知られた基板上に誘電体膜を形成する他のシステム及び方法も本発明に適している。
たとえば誘電体膜は、低誘電率(すなわちlow-k)誘電体膜として特徴付けられて良い。誘電体膜は、有機、無機、及び無機-有機ハイブリッド材料の少なくとも1つを有して良い。それに加えて誘電体膜は有孔性であっても良いし、又は非有孔性であっても良い。たとえば誘電体膜は、CVD法を用いて成膜された酸化オルガノシラン(又はオルガノシロキサン)のような無機のシリケートベース材料を有して良い。係る膜の例には、アプライドマテリアルズ(Applied Materials)社から販売されているブラックダイアモンド(商標)CVDオルガノシリケートガラス(OSG)膜、又はノベラスシステムズ(Novellus Systems)から販売されているコーラル(Coral)(商標)CVD膜が含まれる。それに加えてたとえば、有孔性誘電体膜は、1相組織材料を有して良い。1相組織材料とはたとえば終端部有機側鎖を有するシリコン酸化物ベースの母体である。終端部有機側鎖は、硬化プロセス中に架橋を抑制して、小さな気泡(すなわち孔)を形成する。それに加えてたとえば、有孔性誘電体膜は、2相組織材料を有して良い。2相組織材料とは、たとえば硬化プロセス中に分解及び蒸発する有機材料(たとえばポロジェン)を含むシリコン酸化物ベースの母体である。あるいはその代わりに誘電体膜は、水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)のような、SOD法を用いて成膜された無機のシリケートベース材料を有して良い。係る膜の例には、ダウコーニング(Dow Corning)社から販売されているFOX HSQ、ダウコーニング(Dow Corning)社から販売されているXLK-有孔性HSQ、及びJSRマイクロエレクトロニクス(JSR Microelectronics)社から販売されているJSR LKD-5109が含まれる。あるいはその代わりに、誘電体膜は、SOD法を用いて成膜された有機材料を含んで良い。係る膜の例には、ダウケミカル(Dow Chemical)から販売されているSiLK-I、SiLK-J、SiLK-H、SiLK-D、有孔性SiLK-T、有孔性SiLK-Y、及び有孔性SiLK-Z半導体用誘電体樹脂、並びにハネウエル(Honeywell)社から販売されているフレア(FLARE)(商標)及びナノガラス(Nano-glass)が含まれる。
また図1Aに図示されているように、基板を乾燥システム10及び硬化システム20に対して搬入出し、かつ多要素製造システム40によって基板を交換するため、搬送システム30は乾燥システム10と結合して良い。搬送システム30は、基板を乾燥システム10及び硬化システム20に対して搬入出させることを可能にしながら、真空状態を維持している。乾燥システム10、硬化システム20、及び搬送システム30はたとえば、多要素製造システム40内の処理要素を含んで良い。たとえば多要素製造システム40は、処理要素に対する基板の搬入出を可能にする。処理要素には、エッチングシステム、成膜システム、コーティングシステム、パターニングシステム、計測システム等の装置が含まれる。第1システムで行われるプロセスと第2システムで行われるプロセスとを隔離するため、隔離集合体50が、各システムを結合するのに用いられて良い。たとえば隔離集合体50は、熱隔離するための熱隔離集合体、及び真空隔離するためのゲートバルブ集合体のうちの少なくとも1を有して良い。乾燥システム10、硬化システム20、及び搬送システム30は如何なる順序で設けられても良い。
あるいはその代わりに本発明の他の実施例では、図1Bは、基板上の誘電体膜を処理する処理システム100を図示している。処理システム100は、乾燥システム110と硬化システム120の“クラスタツール”構成を含む。たとえば乾燥システム110は、誘電体膜中の1つ以上の汚染物を除去又は十分なレベルにまで減少させるように備えられて良い。汚染物にはたとえば、水分、溶媒、ポロジェン、又は硬化システム20内で実行される硬化プロセスを妨害する恐れのある他の汚染物が含まれる。それに加えてたとえば硬化システム120は、たとえば誘電体膜の機械的特性を改善するため、誘電体膜を硬化させるように備えられて良い。そのような硬化は、その誘電体膜内で架橋を(部分的に)生じさせることによって行われる。さらに処理システム100はさらに、硬化した誘電体膜を改質するように備えられた後処理システム140を任意で有して良い。たとえば後処理システム140は、後続の膜の接合を促進するため、又は疎水性を改善するため、誘電体膜上に他の膜をスピンコーティング又は気相成長する手順を有して良い。あるいはその代わりにたとえば、接合の促進は、後処理システム内で、イオンによって誘電体膜を軽く照射することにより実現されて良い。
また図1Bに図示されているように、搬送システム130は、基板を乾燥システム110に対して搬入出するために乾燥システム110と結合し、基板を硬化システム120に対して搬入出するために硬化システム120と結合し、かつ基板を後処理システム140に対して搬入出するために後処理システム140と結合して良い。搬送システム130は、真空環境を維持しながら、乾燥システム110、硬化システム120、及び任意で後処理システム140に対して基板を搬入出することができる。
それに加えて搬送システム130は、1つ以上のカセット(図示されていない)で基板を交換することができる。図1Bには2,3の処理システムしか図示されていないとはいえ、他の処理システムも搬送システム130とアクセスして良い。他の処理システムとはたとえば、エッチングシステム、成膜システム、コーティングシステム、パターニングシステム、計測システム等を含む。乾燥システム及び硬化システムで行われるプロセスを隔離するため、隔離集合体150が、各システムを結合させるのに用いられて良い。たとえば隔離集合体150は、熱的に隔離する熱隔離集合体、及び真空隔離を行うゲートバルブ集合体をうちの少なくとも1つを有して良い。それに加えてたとえば搬送システム130は、隔離集合体150の一部として機能して良い。
あるいはその代わりに本発明の他の実施例では、図1Cは、基板上の誘電体膜を処理する処理システム200を図示している。処理システム200は、乾燥システム210及び硬化システム220を有する。たとえば乾燥システム210は、誘電体膜中の1つ以上の汚染物を除去又は十分なレベルにまで減少させるように備えられて良い。汚染物にはたとえば、水分、溶媒、ポロジェン、又は硬化システム220内で実行される硬化プロセスを妨害する恐れのある他の汚染物が含まれる。それに加えてたとえば硬化システム220は、たとえば誘電体膜の機械的特性を改善するため、誘電体膜を硬化させるように備えられて良い。そのような硬化は、その誘電体膜内で架橋を(部分的に)生じさせることによって行われる。さらに処理システム200はさらに、硬化した誘電体膜を改質するように備えられた後処理システム240を任意で有して良い。たとえば後処理システム240は、後続の膜の接合を促進するため、又は疎水性を改善するため、誘電体膜上に他の膜をスピンコーティング又は気相成長する手順を有して良い。あるいはその代わりにたとえば、接合の促進は、後処理システム内で、イオンによって誘電体膜を軽く照射することにより実現されて良い。
乾燥システム210、硬化システム220、及び後処理システム240は、水平に配置されても良いし、又は垂直に(積層して)配置されても良い。また図1Cに図示されているように、搬送システム230は、基板を乾燥システム210に対して搬入出するために乾燥システム210と結合し、基板を硬化システム220に対して搬入出するために硬化システム220と結合し、かつ基板を後処理システム240に対して搬入出するために後処理システム240と結合して良い。搬送システム230は、真空環境を維持しながら、乾燥システム210、硬化システム220、及び任意で後処理システム240に対して基板を搬入出することができる。
それに加えて搬送システム230は、図1Cには1つ以上のカセット(図示されていない)で基板を交換することができる。2,3の処理システムしか図示されていないとはいえ、他の処理システムも搬送システム230とアクセスして良い。他の処理システムとはたとえば、エッチングシステム、成膜システム、コーティングシステム、パターニングシステム、計測システム等を含む。乾燥システム及び硬化システムで行われるプロセスを隔離するため、隔離集合体250が、各システムを結合させるのに用いられて良い。たとえば隔離集合体250は、熱的に隔離する熱隔離集合体、及び真空隔離を行うゲートバルブ集合体をうちの少なくとも1つを有して良い。それに加えてたとえば搬送システム230は、隔離集合体250の一部として機能して良い。
図1Aに図示されている処理システム1の乾燥システム10及び硬化システム20のうちの少なくとも1つは、基板が通過できる少なくとも2つの搬送開口部を有する。たとえば図1Aに図示されているように、乾燥システム10は2つの搬送開口部を有し、第1搬送開口部は基板が乾燥システム10と搬送システム30の間を通過することを可能にし、かつ第2搬送開口部は基板が乾燥システム10と硬化システム20の間を通過することを可能にする。しかし図1Bに図示された処理システム100と図1Cに図示された処理システム200については、各処理システム110、120、140、及び210、220、240は、基板が通過できる少なくとも1つの搬送開口部を有する。
ここで図2を参照すると、本発明の他の実施例による乾燥システム300が図示されている。乾燥システム300は乾燥チャンバ310を有する。乾燥チャンバ310は、基板ホルダ320上に設けられた基板を乾燥するための清浄でかつ汚染物のない環境をつくるように備えられている。乾燥システム300は熱処理装置330を有して良い。熱処理装置330は、乾燥チャンバ310又は基板ホルダ320と結合し、かつ基板温度を上昇させることによって、たとえば水分、残留溶媒等の汚染物を蒸発させるように備えられている。さらに乾燥システム300はマイクロ波処理装置340を有して良い。マイクロ波処理装置340は、乾燥チャンバ310と結合し、かつ振動電場の存在下で汚染物を局所的に加熱するように備えられている。乾燥プロセスは、基板325上の誘電体膜の乾燥を助けるのに、熱処理装置330及び/又はマイクロ波処理装置340を利用して良い。
熱処理装置330は、電源及び温度制御装置と結合する基板ホルダ320内に埋め込まれた1つ以上の導電性加熱素子を有して良い。たとえば各加熱素子は、電力を供給するように備えられた電源と結合する抵抗加熱素子を有して良い。あるいはその代わりに熱処理装置330は、電源及び制御装置と結合した1つ以上の放射加熱素子を有して良い。たとえば各放射加熱素子は、電力を供給するように備えられた電源と結合する加熱ランプを有して良い。基板325の温度はたとえば、約20℃から約500℃の範囲であり、望ましくは約200℃から約400℃の範囲である。
マイクロ波処理源340は、周波数帯域にわたってマイクロ波周波数を掃引するように備えられた可変マイクロ波源を有して良い。周波数が変化することで電荷の蓄積が防止される。従ってそのような周波数の変化によって、影響を受けやすいエレクトロニクス素子に対して損傷を起こさないマイクロ波乾燥法を適用することが可能となる。
一例では、乾燥システム300は、可変周波数マイクロ波装置と熱処理装置の両方を組み込んだ乾燥システムを有して良い。そのような乾燥システムとはたとえば、ラムダテクノロジー(Lambda Technologies)社から販売されているマイクロ波加熱炉である。さらなる詳細については、マイクロ波加熱炉が特許文献1に記載されている。
基板ホルダ320は、基板325を固定するように備えられても良いし、又は固定するように備えられていなくても良い。たとえば基板ホルダ320は、機械的又は電気的に基板325を固定するように備えられて良い。
再度図2を参照すると、乾燥システム300は気体注入システム350をさらに有して良い。気体注入システム350は、乾燥チャンバ310と結合し、かつ乾燥チャンバ310へパージガスを導入するように備えられている。パージガスはたとえば、希ガス又は窒素のような不活性ガスを有して良い。それに加えて乾燥システム300は真空排気システム355を有して良い。真空排気システム355は、乾燥チャンバ310と結合し、かつ乾燥チャンバ310を排気するように備えられている。乾燥プロセス中、基板325は、真空環境であろうとなかろうと、不活性ガス環境に影響下にあって良い。
さらに乾燥システム300は、乾燥チャンバ310と結合する制御装置360、基板ホルダ320、熱処理装置330、マイクロ波処理装置340、気体注入システム350、及び真空排気システム355を有して良い。制御装置360は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。そのデジタルI/Oポートは、乾燥システム300からの出力を監視するだけではなく、乾燥システム300とのやり取り、及び乾燥システム300へ入力を与えるのに十分な制御電圧を生成することができる。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従って乾燥システム300と相互作用するのに利用される。制御装置360は、如何なる数の処理構成要素(310、320、330、340、350又は355)を構成するのに用いられても良い。制御装置360は、処理構成要素からのデータを収集し、提供し、処理し、記憶し、及び表示して良い。制御装置360は、1つ以上の処理構成要素を制御する多数の用途を含んで良い。たとえば制御装置360はグラフィックユーザーインターフェース(GUI)部品(図示されていない)を有して良い。GUI部品は、ユーザーが1つ以上の処理構成要素を監視及び/又は制御できるようにするインターフェースを供することができる。
ここで図3を参照すると、本発明の他の実施例による硬化システム400が図示されている。硬化システム400は硬化チャンバ410を有する。硬化チャンバ410は、基板ホルダ420上に設けられた基板を硬化するための清浄でかつ汚染物のない環境をつくるように備えられている。硬化システム400は2つ以上の放射線源を有して良い。その2つ以上の放射線源は、多種類の波長の電磁(EM)放射線に誘電体膜を有する基板425を曝露するように備えられている。前記2つ以上の放射線源は、赤外(IR)放射線源440及び紫外(UV)放射線源445を有して良い。基板をIR放射線とUV放射線の両方に曝露するのは同時に、順次に、又は互いに重なった状況で行われて良い。
IR放射線源440は、広帯域IR源を有しても良いし、又は狭帯域IR源を有しても良い。IR放射線源440は、1つ以上のIRランプ、若しくは1つ以上のIRレーザー(連続波(CW)、波長可変又はパルス)、又はこれらの結合を有して良い。IR出力の範囲は、約0.1mWから約2000Wであって良い。IR放射線の波長の範囲は、約1μmから約25μmであって良く、望ましくは約8μmから約14μmであって良い。たとえばIR放射線源440は、セラミック素子又はシリコンカーバイド素子のような、約1μmから約25μmの範囲のスペクトル出力を有するIR素子を有して良い。あるいはIR放射線源440は、半導体レーザー(ダイオード)、イオンレーザー、Ti:サファイアレーザー、又は光パラメトリック増幅を有する色素レーザーを有して良い。
UV放射線源445は、広帯域UV源を有しても良いし、又は狭帯域UV源を有しても良い。UV放射線源445は、1つ以上のUVランプ、若しくは1つ以上のUVレーザー(連続波(CW)、波長可変又はパルス)、又はこれらの結合を有して良い。UV放射線は、たとえばマイクロ波源、アーク放電、誘電バリア放電、又は電子衝突によって発生させることができる。UV出力密度の範囲は、約0.1mW/cm2から約2000W/cm2であって良い。UV波長の範囲は、約100ナノメートル(nm)から約600nmであって良く、望ましくは約200nmから約400nmであって良い。たとえばUV放射線源445は、重水素(D2)ランプのような、約180nmから約500nmの範囲のスペクトル出力を有する直流(DC)又はパルスランプを有して良い。あるいはUV放射線源445は、半導体レーザー(ダイオード)、(窒素)気体レーザー、3倍周波数Nd:YAGレーザー、又はCu蒸気レーザーを有して良い。
IR放射線源440及び/又はUV放射線源445は、出力放射線の1つ以上の特性を調節するための光学素子を有して良い。たとえば各放射線源は、光ファイバ、光学レンズ、ビームエキスパンダ、ビームコリメータ等をさらに有して良い。光学及びEM波の伝播についての当業者に既知である係る光学操作は、本発明に適している。
基板ホルダ420はさらに、基板425の温度を上昇及び/又は制御できるように備えられた温度制御システムを有して良い。温度制御システムは熱処理装置430の一部であって良い。基板ホルダ420は、電源及び温度制御装置と結合し、かつ中に埋め込まれた1つ以上の導電性加熱素子を有して良い。たとえば各加熱素子は、電力を供給するように備えられた電源と結合する抵抗加熱素子を有して良い。基板ホルダ420は任意で、1つ以上の放射加熱素子を有して良い。基板425の温度はたとえば、約20℃から約500℃の範囲であり、望ましくは約200℃から約400℃の範囲である。
再度図3を参照すると、硬化システム400は気体注入システム450をさらに有して良い。気体注入システム450は、硬化チャンバ410と結合し、かつ硬化チャンバ410へパージガスを導入するように備えられている。パージガスはたとえば、希ガス又は窒素のような不活性ガスを有して良い。あるいはその代わりにパージガスは、たとえばH2、NH3、CxHy又はこれらの混合ガスのような他のガスを含んでも良い。それに加えて硬化システム400は真空排気システム455を有して良い。真空排気システム455は、硬化チャンバ410と結合し、かつ硬化チャンバ410を排気するように備えられている。乾燥プロセス中、基板425は、真空環境であろうとなかろうと、不活性ガス環境に影響下にあって良い。
さらに硬化システム400は、硬化チャンバ410と結合する制御装置460、基板ホルダ420、熱処理装置430、IR放射線源440、UV放射線源445、気体注入システム450、及び真空排気システム455を有して良い。制御装置460は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。そのデジタルI/Oポートは、硬化システム400からの出力を監視するだけではなく、硬化システム400とのやり取り、及び硬化システム400へ入力を与えるのに十分な制御電圧を生成することができる。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従って乾燥システム300と相互作用するのに利用される。制御装置360は、如何なる数の処理構成要素(410、420、430、440、450又は455)を構成するのに用いられても良い。制御装置460は、処理構成要素からのデータを収集し、提供し、処理し、記憶し、及び表示して良い。制御装置460は、1つ以上の処理構成要素を制御する多数の用途を含んで良い。たとえば制御装置460はグラフィックユーザーインターフェース(GUI)部品(図示されていない)を有して良い。GUI部品は、ユーザーが1つ以上の処理構成要素を監視及び/又は制御できるようにするインターフェースを供することができる。
制御装置360及び460は、デルコーポレーションから販売されているDELL PRECISION WORKSTATION610(商標)で実装されて良い。制御装置360及び460はまた、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等で実装されても良い。その制御装置は、基板処理装置に、コンピュータによる読み取りが可能な媒体から制御装置に格納されている1以上の命令に係る1以上のシーケンスを実行する制御装置360及び460に応答して、本発明に係る処理工程の一部又は全部を実行させる。コンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
制御装置360及び460は、乾燥システム300及び硬化システム400に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して乾燥システム300及び硬化システム400に対して離れた場所に設置されても良い。よって制御装置360及び460は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって乾燥システム300及び硬化システム400とのデータのやり取りをして良い。制御装置360及び460は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
ここで図4を参照すると、他の実施例による基板上の誘電体膜の処理方法が記載されている。当該方法は、510において第1処理システム内で基板上の誘電体膜を乾燥させる手順から始まるフローチャート500を有する。第1処理システムは乾燥システムを有する。その乾燥システムは、誘電体膜中の1つ以上の汚染物を(部分的に)除去するように備えられて良い。汚染物にはたとえば、水分、溶媒、ポロジェン、又は後続の硬化プロセスを妨害する恐れのある他の汚染物が含まれる。第2処理システムは硬化システムを有する。その硬化システムは、たとえば誘電体膜の機械的特性を改善するため、誘電体膜を硬化させるように備えられて良い。そのような硬化は、その誘電体膜内で架橋を(部分的に)生じさせることによって行われる。乾燥プロセス後、基板は、汚染を最小限にするため真空環境下で、第1処理システムから第2処理システムへ搬送されて良い。そこで基板は、UV放射線及びIR放射線に曝露される。それに加えて、乾燥プロセス及び硬化プロセスに続いて、誘電体膜は、硬化した誘電体膜を改質するように備えられた後処理システム内で任意に後処理されて良い。たとえば後処理には、後続の膜の接合を促進するため、又は疎水性を改善するため、誘電体膜上に他の膜をスピンコーティング又は気相成長させる手順を有して良い。あるいはその代わりに、たとえば接合の促進は、後処理システム内で、誘電体膜にイオンを軽く照射することによって実現可能である。本発明に適すると思われるそのような後処理の1つが特許文献2に記載されている。
たとえ本発明のある典型的実施例のみが上で詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。
A-Cは、本発明の実施例による乾燥システム及び硬化システムの搬送システムを概略的に表したものである。 本発明の他の実施例による乾燥システムの概略的断面図である。 本発明の他の実施例による硬化システムの概略的断面図である。 本発明のさらに他の実施例による誘電体膜の処理方法のフローチャートである。

Claims (29)

  1. 基板上の誘電体膜を処理する処理システムであって、
    前記誘電体膜上又は該膜中の汚染物量を減少させる乾燥プロセスを実行するように備えられた乾燥システム、
    前記誘電体膜を紫外(UV)放射線に曝露するように備えられたUV放射線源、及び前記誘電体膜を赤外(IR)放射線に曝露するように備えられたIR放射線源を有する、前記乾燥システムと結合して硬化プロセスを実行するように備えられた硬化システム、並びに
    真空条件下で前記乾燥システムと前記硬化システムの間で前記基板の交換を行うように備えられた、前記乾燥システム及び前記硬化システムと結合する搬送システム、
    を有する処理システム。
  2. 前記IR放射線源が、約1μmから約25μm範囲のIR波長帯源を有する、請求項1に記載の処理システム。
  3. 前記IR放射線源は、約8μmから約14μm範囲のIR波長帯源を有する、請求項1に記載の処理システム。
  4. 前記UV放射線源は、約100nmから約600nm範囲のUV波長帯源を有する、請求項1に記載の処理システム。
  5. 前記UV放射線源は、約200nmから約400nm範囲のUV波長帯源を有する、請求項1に記載の処理システム。
  6. 前記IR放射線源は、広帯域放射線源、若しくは狭帯域放射線源、又はこれらを組み合わせたものを有する、請求項1に記載の処理システム。
  7. 前記IR放射線源は、1つ以上のIRランプ、若しくは1つ以上のIRレーザー、又はこれらの結合を有する、請求項1に記載の処理システム。
  8. 前記UV放射線源は、広帯域UV源、若しくは狭帯域UV源、又はこれらを組み合わせたものを有する、請求項1に記載の処理システム。
  9. 前記UV放射線源は、1つ以上のUVランプ、若しくは1つ以上のUVレーザー、又はこれらの結合を有する、請求項1に記載の処理システム。
  10. 前記乾燥システムが、
    前記乾燥プロセスを補助する乾燥チャンバ、
    該乾燥チャンバと結合して、前記乾燥チャンバ内で前記基板を支持するように備えられた基板ホルダ、及び
    前記乾燥チャンバと結合して、前記基板上の前記誘電体膜を乾燥させるように備えられた熱処理装置、
    を有する、
    請求項1に記載の処理システム。
  11. 前記熱処理装置は、前記基板ホルダと結合する温度制御素子を有する、請求項10に記載の処理システム。
  12. 前記温度制御素子は抵抗加熱素子を有する、請求項11に記載の処理システム。
  13. 前記熱処理装置は、前記基板の温度を約200℃から約400℃の範囲で上昇させるように備えられた、請求項10に記載の処理システム。
  14. 前記マイクロ波処理装置は、前記乾燥チャンバと結合する可変周波数マイクロ波源を有する、請求項10に記載の処理システム。
  15. 前記乾燥チャンバは、該乾燥チャンバへパージガスを供給するように備えられた気体注入システムを有する、請求項10に記載の処理システム。
  16. 前記気体注入システムは、希ガス又は窒素を前記乾燥チャンバへ供給するように備えられた、請求項15に記載の処理システム。
  17. 前記硬化システムは、
    前記硬化プロセスを補助する硬化チャンバ、
    該硬化チャンバと結合して、前記硬化チャンバ内で前記基板を支持するように備えられた基板ホルダ、及び
    前記硬化チャンバと結合して、前記基板上の前記誘電体膜を加熱するように備えられた温度制御システム、
    をさらに有する、
    請求項1に記載の処理システム。
  18. 前記温度制御システムは、前記基板ホルダと結合する温度制御素子を有する、請求項17に記載の処理システム。
  19. 前記温度制御素子は抵抗加熱素子を有する、請求項18に記載の処理システム。
  20. 前記温度制御システムは、前記基板の温度を約200℃から約400℃の範囲で上昇させるように備えられた、請求項17に記載の処理システム。
  21. さらに後処理システムを有する処理システムであって、
    前記後処理システムは、前記搬送システムと結合し、かつ前記硬化プロセスに続いて前記誘電体膜を処理するように備えられた、
    請求項1に記載の処理システム。
  22. 前記後処理システムが、エッチングシステム、成膜システム、気相成長システム、スピン・オン成膜システム、真空プロセスシステム、プラズマ処理システム、清浄システム、又は熱処理システムのうちの1つ以上を有する、請求項21に記載の処理システム。
  23. 基板上の誘電体膜を処理する方法であって、
    前記基板を乾燥システム内に設置する手順、
    前記誘電体膜上又は該膜中の汚染物量を(部分的に)除去するための乾燥プロセスに従って前記誘電体膜を乾燥する手順、
    真空条件を維持したままで前記基板を前記乾燥システムから硬化システムへ搬送する手順、及び
    前記誘電体膜をUV放射線とIR放射線に曝露することによって前記誘電体膜を硬化する手順、
    を有する方法。
  24. 前記の誘電体膜をUV放射線に曝露する手順は、1つ以上のUVランプ、若しくは1つ以上のUVレーザー、又は前記UVランプとUVレーザーの両方からのUV放射線に前記誘電体膜を曝露する手順を有する、請求項23に記載の方法。
  25. 前記の誘電体膜をIR放射線に曝露する手順は、1つ以上のIRランプ、若しくは1つ以上のIRレーザー、又は前記IRランプとIRレーザーの両方からのIR放射線に前記誘電体膜を曝露する手順を有する、請求項23に記載の方法。
  26. 前記誘電体膜上に他の膜を成膜する手順、前記誘電体膜を清浄にする手順、又は前記誘電体膜をプラズマに曝露する手順のうちの1つ以上の手順を実行することによる前記硬化に続いて、前記誘電体膜を処理する手順をさらに有する、請求項23に記載の方法。
  27. 前記設置、乾燥、搬送、及び硬化のうちの少なくとも1つの手順が、low-k誘電体膜を処理する手順を有する、請求項23に記載の方法。
  28. コンピュータシステム上での実行についてのプログラム命令を有するコンピュータでの読み取りが可能な媒体であって、前記プログラム命令は、前記コンピュータシステムによって実行されるときに、前記コンピュータシステムに、
    前記基板を乾燥システム内に設置する手順、
    前記誘電体膜上又は該膜中の汚染物量を(部分的に)除去するための乾燥プロセスに従って前記誘電体膜を乾燥する手順、
    真空条件を維持したままで前記基板を前記乾燥システムから硬化システムへ搬送する手順、及び
    前記誘電体膜をUV放射線とIR放射線に曝露することによって前記誘電体膜を硬化する手順、
    を実行させる、
    コンピュータでの読み取りが可能な媒体。
  29. 前記プログラム命令は、前記コンピュータシステムに、前記設置、乾燥、搬送、及び硬化のうちの少なくとも1つの手順中にlow-k誘電体膜を処理する手順を実行させる、請求項28に記載のコンピュータでの読み取りが可能な媒体。




















JP2008540027A 2005-11-09 2006-10-06 誘電体膜を硬化させる多段階システム及び方法 Expired - Fee Related JP5496512B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/269,581 US7622378B2 (en) 2005-11-09 2005-11-09 Multi-step system and method for curing a dielectric film
US11/269,581 2005-11-09
PCT/US2006/039260 WO2007055849A2 (en) 2005-11-09 2006-10-06 Multi-step system and method for curing a dielectric film

Publications (2)

Publication Number Publication Date
JP2009520342A true JP2009520342A (ja) 2009-05-21
JP5496512B2 JP5496512B2 (ja) 2014-05-21

Family

ID=38004345

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008540027A Expired - Fee Related JP5496512B2 (ja) 2005-11-09 2006-10-06 誘電体膜を硬化させる多段階システム及び方法

Country Status (6)

Country Link
US (5) US7622378B2 (ja)
JP (1) JP5496512B2 (ja)
KR (1) KR101291017B1 (ja)
CN (2) CN101517708A (ja)
TW (1) TWI360832B (ja)
WO (1) WO2007055849A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011125394A1 (ja) * 2010-04-01 2011-10-13 株式会社アルバック 真空処理装置及び基板処理方法及び低誘電率膜作製装置
JP2012104703A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2013528928A (ja) * 2010-03-29 2013-07-11 東京エレクトロン株式会社 低誘電率絶縁体を統合するための方法
JP2014007416A (ja) * 2008-03-06 2014-01-16 Tokyo Electron Ltd 有孔性低誘電率誘電膜の硬化方法
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099827A1 (en) * 2004-11-05 2006-05-11 Yoo Woo S Photo-enhanced UV treatment of dielectric films
US20060273265A1 (en) * 2005-05-11 2006-12-07 Ronald Lipson UV curing system with remote controller
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090004368A1 (en) * 2007-06-29 2009-01-01 Weyerhaeuser Co. Systems and methods for curing a deposited layer on a substrate
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US8463116B2 (en) * 2008-07-01 2013-06-11 Tap Development Limited Liability Company Systems for curing deposited material using feedback control
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
WO2010033469A2 (en) * 2008-09-16 2010-03-25 Tokyo Electron Limited Dielectric material treatment saystem and method of operating
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367238B (zh) * 2012-03-31 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种低k介质层及其形成方法
CN103420333A (zh) * 2012-05-15 2013-12-04 中国科学院微电子研究所 利用微波干燥纳米图形的方法及其装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
SG11201501144TA (en) * 2012-09-07 2015-04-29 Applied Materials Inc Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10147640B2 (en) 2014-03-11 2018-12-04 Tokyo Electron Limited Method for removing back-filled pore-filling agent from a cured porous dielectric
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101818721B1 (ko) * 2015-03-27 2018-02-21 에이피시스템 주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조 방법
US9810480B2 (en) * 2015-06-12 2017-11-07 Targeted Microwave Solutions Inc. Methods and apparatus for electromagnetic processing of phyllosilicate minerals
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018230806A1 (ko) * 2017-06-14 2018-12-20 주식회사 케이씨텍 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111380332A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种具有功率自适应调节的微波干燥装置
CN111380331A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种微波干燥装置
CN111383946A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种纳米图形快速固化装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200127078A (ko) * 2019-04-30 2020-11-10 세메스 주식회사 기판 처리 방법, 기판 처리 장치 및 기판 처리 설비
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN110718486B (zh) * 2019-10-17 2022-10-04 沈阳硅基科技有限公司 一种薄膜转移方法
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487780A (en) * 1987-09-30 1989-03-31 Mitsubishi Metal Corp Production of thin film of composite metal oxide
JPH01309335A (ja) * 1988-06-08 1989-12-13 Hitachi Ltd 塗布絶縁膜の形成方法
JPH07122622A (ja) * 1993-07-15 1995-05-12 Hitachi Ltd 製造システムおよび製造方法
JP2003151969A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2004014686A (ja) * 2002-06-05 2004-01-15 Tokyo Electron Ltd 熱処理方法
JP2004146449A (ja) * 2002-10-22 2004-05-20 Tokyo Electron Ltd 基板処理装置

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US6413883B1 (en) 1996-03-04 2002-07-02 Symetrix Corporation Method of liquid deposition by selection of liquid viscosity and other precursor properties
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH10279362A (ja) 1997-03-31 1998-10-20 Tonen Corp SiO2系セラミックス膜の形成方法
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
JP2001214127A (ja) 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
AU2001288954A1 (en) 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US20030224544A1 (en) 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
KR100541179B1 (ko) 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7223670B2 (en) 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7166963B2 (en) 2004-09-10 2007-01-23 Axcelis Technologies, Inc. Electrodeless lamp for emitting ultraviolet and/or vacuum ultraviolet radiation
US7081638B1 (en) 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
EP1941539A1 (en) 2005-06-03 2008-07-09 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7589336B2 (en) 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US20070264786A1 (en) 2006-05-11 2007-11-15 Neng-Kuo Chen Method of manufacturing metal oxide semiconductor transistor
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090075491A1 (en) 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487780A (en) * 1987-09-30 1989-03-31 Mitsubishi Metal Corp Production of thin film of composite metal oxide
JPH01309335A (ja) * 1988-06-08 1989-12-13 Hitachi Ltd 塗布絶縁膜の形成方法
JPH07122622A (ja) * 1993-07-15 1995-05-12 Hitachi Ltd 製造システムおよび製造方法
JP2003151969A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP2004014686A (ja) * 2002-06-05 2004-01-15 Tokyo Electron Ltd 熱処理方法
JP2004146449A (ja) * 2002-10-22 2004-05-20 Tokyo Electron Ltd 基板処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014007416A (ja) * 2008-03-06 2014-01-16 Tokyo Electron Ltd 有孔性低誘電率誘電膜の硬化方法
JP2013528928A (ja) * 2010-03-29 2013-07-11 東京エレクトロン株式会社 低誘電率絶縁体を統合するための方法
WO2011125394A1 (ja) * 2010-04-01 2011-10-13 株式会社アルバック 真空処理装置及び基板処理方法及び低誘電率膜作製装置
JP5560325B2 (ja) * 2010-04-01 2014-07-23 株式会社アルバック 真空処理装置及び低誘電率膜作製装置
JP2012104703A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法

Also Published As

Publication number Publication date
US10068765B2 (en) 2018-09-04
KR20080067002A (ko) 2008-07-17
US9443725B2 (en) 2016-09-13
US20160314966A1 (en) 2016-10-27
WO2007055849A3 (en) 2009-05-07
WO2007055849A2 (en) 2007-05-18
TW200735171A (en) 2007-09-16
US20100041248A1 (en) 2010-02-18
US9184047B2 (en) 2015-11-10
CN103489813A (zh) 2014-01-01
TWI360832B (en) 2012-03-21
US20070105401A1 (en) 2007-05-10
US20140109432A1 (en) 2014-04-24
KR101291017B1 (ko) 2013-07-30
JP5496512B2 (ja) 2014-05-21
US7622378B2 (en) 2009-11-24
US8642488B2 (en) 2014-02-04
US20160027641A1 (en) 2016-01-28
CN101517708A (zh) 2009-08-26

Similar Documents

Publication Publication Date Title
JP5496512B2 (ja) 誘電体膜を硬化させる多段階システム及び方法
TWI431689B (zh) 介電膜之硬化方法
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
JP5490024B2 (ja) 有孔性低誘電率誘電膜の硬化方法
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US8956457B2 (en) Thermal processing system for curing dielectric films
JP5615180B2 (ja) エアギャップ構造の作製方法
US8242460B2 (en) Ultraviolet treatment apparatus
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
JP2012503313A (ja) 誘電材料処理システム及び当該システムの操作方法
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140305

R150 Certificate of patent or registration of utility model

Ref document number: 5496512

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees