CN104603914B - 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理 - Google Patents

多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理 Download PDF

Info

Publication number
CN104603914B
CN104603914B CN201380046614.7A CN201380046614A CN104603914B CN 104603914 B CN104603914 B CN 104603914B CN 201380046614 A CN201380046614 A CN 201380046614A CN 104603914 B CN104603914 B CN 104603914B
Authority
CN
China
Prior art keywords
chamber
substrate
inert gas
temperature
microwave radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380046614.7A
Other languages
English (en)
Other versions
CN104603914A (zh
Inventor
格伦·T·莫里
岳胜·欧
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104603914A publication Critical patent/CN104603914A/zh
Application granted granted Critical
Publication of CN104603914B publication Critical patent/CN104603914B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本文中描述用于处理基板的方法和设备。真空多腔室沉积工具可包含除气腔室,且该除气腔室具有加热机构和变频微波源两者。用于进行基板除气的方法可包括将含有聚合物或环氧化物的基板放置在处理腔室内并使该处理腔室保持在除气温度与玻璃转化温度之间,使该基板暴露于变频微波辐射,使该基板暴露于包含惰性气体的等离子体,去除该腔室中的含氧化合物,升高该腔室中的惰性气体的压力,和保持该惰性气体的压力且同时冷却该基板至比除气温度低的温度。

Description

多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化 物的集成处理
发明背景
发明领域
本发明实施方式一般涉及使用微波辐射进行基板处理的设备和方法。
相关技术的描述
为了满足对于越小且更强大的装置的需求,不断缩减半导体上集成部件的尺寸。当半导体装置上的特征结构变更小时,需研发出先进的聚合物和电介质以允许特征结构可更密集地配置。这些新的电介质和聚合物采用提高的孔隙度以提供与缩减尺寸和提高密集度并存的特性。
沉积高品质的金属膜需要不含残余物并使挥发性材料脱附(desorption)的干净表面。在半导体制造和封装实践上的一般做法是使用热除气工艺且随后在进行金属沉积之前通常会进行一道清洁步骤。这些工艺一般在原位上进行(在进行金属沉积之前不会暴露于空气中)以避免再污染基板和新进(incoming)薄膜。热除气工艺通常涉及在传统烤炉中的热板上加热基板或由灯阵列使用红外线加热该基板。
不论通过使用加热板或红外线(IR)辐射灯加热该基板皆可完成预先除气步骤。然而,此两种发热加热法(thermal heating)实施方式不足以使先进薄膜除气。发热加热法耗费相当长的时间,且所述工具的产率极低。此外,标准热处理可能很容易使先进薄膜达到会生成含氧二次气体(oxygen-containing secondary gas)或导致该膜层发生玻璃转化作用的温度。
因此,在所属技术领域中,需要能使薄膜有效除气,同时保持在沉积薄膜的热处理范围内进行加热的方法和设备。
发明概述
在一个实施方式中,真空多腔室沉积工具可包含除气腔室,且该除气腔室可包含腔室主体、配置为支撑具有选定直径基板的基板支座、配置为加热该基板的加热机构、温度测量装置和配置为朝向该基板支座表面输送变频微波辐射的变频微波辐射源;和该工具可包含金属化前预清洁腔室(pre-metallization clean chamber)。
在另一个实施方式中,基板除气方法可包括将包含聚合物或环氧化物的基板放置在处理腔室中,其中该腔室保持在除气温度与玻璃转化温度之间,使惰性气体流入该腔室,产生包含该惰性气体的等离子体,使该基板暴露于包含该惰性气体的等离子体,去除该腔室内的含氧化合物,升高该腔室中的惰性气体的压力,和保持该惰性气体的压力且同时冷却该基板至比该除气温度低的温度。
在另一个实施方式中,基板除气方法可包括将包含聚合物或环氧化物的基板放置在处理腔室中,放置变频微波辐射源以输送微波辐射至该基板,在多个短发射时间(shortbursts of time)内以一个以上的频率输送微波辐射至该基板,同时使该基板的温度保持在高于除气温度的温度下,和去除该腔室内的第一组含氧化合物。
在另一个实施方式中,真空多腔室沉积工具可包括:负载锁定腔室;第一传送腔室且在该第一传送腔室内安装有第一中心机器人;第二传送腔室且在该第二传送腔室内安装有第二中心机器人;和除气腔室,该除气腔室包括腔室主体、配置为支撑具有选定直径的基板的基板支座、配置为加热该基板的基板加热机构、温度测量装置和变频微波辐射源,该变频微波辐射源使用选自范围介在5.85GHz至7.0GHz之间的两个或更多个频率且该两个或更多个频率间的差异介在200Hz至280Hz之间,且该变频微波辐射源配置为朝向该基板支座表面输送变频微波辐射;金属化前预清洁腔室,且该金属化前预清洁腔室与该除气腔室流体连通并采用包含惰性气体的溅射清洁工艺;和沉积腔室,该沉积腔室与该金属化前预清洁腔室流体连通。
附图简要说明
为能详细了解本发明以上所述特征,可参照多个实施方式针对以上简要整理的本发明提出更具体的描述,部分实施方式图示于附图中。然而应注意,所述附图仅图式本发明的典型实施方式,且因此所述附图不应视为是本发明范围的限制,本发明可容许其他同等有效的实施方式。
图1是根据一个实施方式的除气腔室的示意图;
图2是根据一个实施方式的除气方法的方块图;
图3是根据另一个实施方式的除气方法的方块图;和
图4是根据一个实施方式的真空多腔室沉积工具的平面图。
为帮助理解,尽可能地使用相同标号代表所述附图中共有的相同元件。无需特别说明便可思及一个实施方式中所公开的元件可有利地应用在其他实施方式上。
具体描述
本文中描述用于处理基板的方法和设备。真空多腔室沉积工具可包括除气腔室,且该除气腔室兼具有加热机构和变频微波源。基板除气方法可包括将包含聚合物或环氧化物的基板放置在处理腔室中且该处理腔室保持在除气温度与玻璃转化温度之间,使该基板暴露于变频微波辐射,使该基板暴露于含有惰性气体的等离子体,去除该腔室内的含氧化合物,升高该腔室中的惰性气体压力,和保持该惰性气体的压力且同时冷却该基板至比该除气温度低的温度。
半导体制造和封装作业越来越多涉及到使用多孔电介质、环氧化物和聚合物材料。在半导体装置制造上,这些材料可用来作为金属之间的介电膜和最终的钝化膜。在半导体封装上,这些材料可用来作为基板、可作为用来粘合装置晶片与载体基板的接合化合物、和可作为用于晶片上芯片层叠技术(chip-on-wafer stacking)与内连线技术(interconnect)中的底部填充材料。
当这些电介质、环氧化物和聚合物材料暴露于空气中时容易吸收水分且经常含有残留的挥发性材料,这些挥发性材料可能在后续金属沉积工艺期间释出气体。释出气体可能造成品质不佳且附着力差的金属膜、高电阻、不良的薄膜型态/性质和其他问题。因此,在进行金属沉积之前,聚合物和环氧化物材料需要更积极有力的除气步骤。
在以下所述实施方式中,在金属化步骤之前采用变频微波(VFM)来减少基板除气处理所需要的时间和温度。在进一步实施方式中可包括在进行金属化前的预清洁步骤之前,使用惰性等离子体来预先释放出聚合物/环氧化物材料中的挥发性副产物。在进一步实施方式中可包括在进行金属化前的预清洁步骤之前,使用惰性气体封住聚合物/环氧化物的孔隙。通过参阅以下附图可更佳地理解本申请的实施方式。
图1是根据一个实施方式所示的除气腔室100的示意图。一或多个目前可取得的腔室可经调整以适用于以下所述的本发明实施方式。能与本发明实施方式使用的腔室可包括购自位在美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)的Charger UBM系统。可预想到,在不偏离所公开发明的情况下,根据所述实施方式可使用其他腔室(包括来自其他制造商的腔室在内)。
除气腔室100可包括用于支撑基板104的基板支座102。基板支座102可由标准材料组成,例如由铝、石英、陶瓷或不锈钢所组成。此外,基板支座102可设计用来支撑任何尺寸的基板,例如用来支撑300毫米的基板。各种加热和冷却装置可埋设在基板支座102或除气腔室内100或放置为与基板支座102或除气腔室100连接,以传递加热或冷却作用至基板104。
基板104可以是任何可用于半导体应用中的组成物,例如硅、环氧化物或石英基板。在基板104上可沉积有聚合物/环氧化物层105。聚合物/环氧化物层105是可沉积在基板104表面上且随后在基板104上进行硬化的膜层。可通过将液体聚合物旋涂在基板104上而形成聚合物/环氧化物层105。随后可使聚合物/环氧化物层105进行硬化以使聚合物/环氧化物层105硬化和交联(cross-link)。可由多种聚合物或环氧化物(例如,聚酰亚胺类或聚苯并二噁唑(polybenzobisoxazole,PBO))的其中之一构成该聚合物/环氧化物层105。
应理解,用于沉积聚合物/环氧化物层的其他沉积技术可达到良好的等效作用而不会偏离文中所述实施方式。例如能预期到可将聚合物/环氧化物干膜使用真空压合法(vacuum lamination)至基板104上以沉积该聚合物/环氧化物层105。进一步实施方式中可包括利用化学气相沉积法(CVD)沉积聚合物/环氧化物层105。
除气腔室100也可具有热源106,例如本文中所绘示埋设在基板支座102中的热源106。尽管图1中绘示该热源106是埋设在基板支座102中的电阻式热源,但热源106可以是任何可用来使基板进行除气的热源,例如红外线发热灯热源。来自热源106的热能可直接输送至基板104或通过改变腔室温度而间接输送至基板104。热源106可设计成用于加热基板并使基板维持在一稳定温度,例如介于100℃至500℃之间的温度。该热源可采任意一种设计且放置在任何允许输送能量以加热基板的位置中。
除气腔室100可进一步包括变频微波辐射源108。变频微波辐射源108可包括微波功率源110。微波功率源110可选自所有可取得的微波功率源中,包括磁控管、速度调制电子管(klystron)、回旋管(gyrotron)和行波管(traveling wave tube)。变频微波辐射源108也可包含微波腔111。微波腔111可为单模式腔、多模式腔或所述腔的组合。微波腔111可接收来自微波功率源110的功率。
变频微波能量112可包括可用频率范围内的连续扫频(continuous sweeping offrequencies)。连续扫描可避免金属层中累积电荷,因而可降低发生电弧及后续损伤的可能性。通常通过选择中心频率且随后以实质连续方式快速地扫描某些范围内的频率进行频率扫描。通常,频率扫描可包括在该中心频率+/-5%范围内的频率,然而此范围可取决于某些因子(例如,微波源的种类及与微波波长相比下该微波腔的整体尺寸)而有所变化。
变频微波能量112的频率范围可为特定频率范围,例如5.85GHz至7.0GHz的范围。此外,该频率范围可以划分成多个频率,这些频率彼此间具有特定间隔,这些频率例如为经选择而以在200Hz与280Hz之间分隔的多个频率范围。例如,260Hz的间隔可产生4096个选定频率,并可从该4096个频率中选出该变频微波能量112。可进一步在每个选定频率范围的短暂发射时间内(例如每个频率发射20微秒至30微秒的短时间,例如25微秒),将频率扫描期间所输送的变频微波能量112输送至基板104。
除气腔室100可进一步包括气源114。气源114可输送惰性气体,例如包含氩气或氦气的气体。气源114可根据腔室的尺寸及预处理的基板尺寸而使用指定的流动速率输送气体至腔室。气源114可与腔室直接连通,或者气源114在将气体输送至该腔室之前,可例如使稀有气体在远端等离子体源中转化成等离子体后才间接输送至腔室中。气源114可配置成用于将气体输送至整个基板104上,从而可冷却基板104且兼输送所选定的惰性气体。
除气腔室100也可包括等离子体源116。等离子体源116可用惰性气体产生等离子体,例如由含有氩气或氦气的气体产生等离子体。等离子体源116可在该腔室内形成等离子体或在远端来源中形成等离子体。等离子体源116可接收来自气源114的气流,或等离子体源116可具有独立气流(图中未示出)。等离子体源116中所使用的惰性气体或含有惰性气体的组合物与气源114中所使用的惰性气体或含有惰性气体的组合物未必相同。等离子体源116可使用通过所有可用的等离子体生成技术所形成的等离子体,包括感应耦合等离子体、电容耦合等离子体或微波等离子体。等离子体源116可将等离子体直接输送至基板104处或广泛地输送至除气腔室100。
可预期到,文中所述实施方式可无需更换腔室便可用于在该除气腔室100中使具有聚合物/环氧化物层105的基板104进行硬化和除气。此做法将可减少吸收大气中的水分,从而缩减基板104除气所需的处理时间。
除气腔室100可进一步包含真空源118。真空源118可用于例如在等离子体处理期间维持真空且兼去除该除气步骤中不想要的副产物,例如可去除在使用等离子体处理聚合物/环氧化物层105期间所产生的副产物。当进行基板处理期间(例如在进行金属化之前的预清洁过程中),聚合物/环氧化物层105可能释出所述副产物(例如,CxOy及CxHy气体副产物),而所述副产物可能影响进一步的处理步骤。
除气腔室100可与一或多个相关腔室流体连通,所述相关腔室可例如是金属化前预清洁腔室(图中未示出)。多腔室单元(图中未示出)包括金属化前预清洁腔室和沉积腔室,而除气腔室100也可作为多腔室单元的一部分。使腔室之间流体连通(特别是在除气步骤之后)有助于防止进一步累积H2O和其他杂质。
重要的是,需注意尽管文中所述实施方式焦点放在基板上的聚合物/环氧化物层,然而文中所述实施方式也可等效地应用于实质上由聚合物或环氧化物所形成而需要进行除气步骤的基板。
图2是根据一个实施方式所示的方法200的方块图。方法200可包括将基板放置在处于除气温度下的热处理腔室中(如步骤202所示)。热处理腔室可为如以上所述的处理腔室。此外,该热处理腔室可作为多腔室单元的一部分。基板可放置在基板支座上,且该基板支座的温度保持处在除气温度。该除气温度可取决于材料而定或处于一标准温度。实施方式可包括单独控制该基板处的温度,或控制该处理腔室的温度以间接控制基板温度。
已知对于使基板数个部分金属化的制备而言,除气是一个有用的要素。除其他种种因素之外,金属化期间的释气可能造成使所沉积的金属受到污染和具有高电阻。主要是认为H2O会造成大部分的有害影响,而通过使基板除气可避免所述有害影响。预期在任何温度下皆可去除表面的H2O。内部的水分要在50℃或高于50℃的温度下才会开始脱除。因此,若要能适当除气,在顾及到未露出表面的区域的特殊考量下提供均匀加热是很重要的。
方法200可包括如步骤204中所示般引导变频微波辐射源指向基板。该微波辐射源可采用任何允许以变频方式将一或多种波长的微波辐射输送至基板的设计,所述设计可包括以上所述实施方式。该微波辐射源可配置成用于输送微波辐射至基板。此外,该微波辐射源可相对于基板位置而配置成各种角度,只要基板表面上的聚合物/环氧化物层能接收到至少一部分的微波辐射即可。
相信微波辐射将可实质缩减除气时间,但需使用直接加热作为辅助。利用微波能量使偶极性分子振动。此振动作用可产生内热而可用来减少累积在多孔性聚合物材料中的H2O和其他被吸收的分子。微波加热作用仰赖所述偶极分子(例如,H2O),当除气作用持续进行时,所述偶极分子将会从薄膜中逸出。如此,微波辐射所产生的加热作用将缩短除气工艺的整体时间且将需要辅以直接加热或红外线(IR)加热以完成该除气工艺。
当除气工艺使用微波辐射且兼使用热处理法来处理基板时,该除气温度不一定就是代表标准除气温度。因此,该腔室温度可能低于基板所处温度(substrate localizedtemperature)以允许在处理之后可较快地冷却该基板且可避免因过度加热聚合物/环氧化物层造成有害影响。
方法200可进一步包括以变频输送微波辐射至该基板,如步骤206中所示。引导该变频微波辐射源指向该基板之后,可用变频输送一或多种波长的微波辐射至该基板。可采用特定波长的预选频率输送该微波辐射。可从一系列频率中随机选择所述频率,或可以特定顺序选出所述频率。根据所使用的某些频率或多个频率的某些组合而定,某些材料可能较不易累积能量。所用的频率和所用的微波辐射波长两者皆可能影响能量的累积作用。
在不受理论拘束下,单频微波辐射不适合用来进行金属化之前的基板除气。单频微波辐射可能使能量累积在经过处理的基板中,例如累积在具有金属特征结构的基板中。使用变频微波能量源可防止能量累积在已经过处理的基板的膜层中。可采用变频微波源以防止装置(例如,MOS装置)中发生电弧放电现象并促进均匀加热该聚合物/环氧化物层。
使基板除气以达到适当低的H2O含量且同时保持装置完整性需要考虑到诸多因子。除气作用发生在特定温度下且持续一段时间。较高温度将缩短除气所需要的时间。然而,由于聚合物层的玻璃转化温度(Tg)相对较低,因此在决定适当的除气温度时,必需考虑到该层的Tg。例如,聚酰亚胺膜(PBO)会在350℃硬化且具有375℃的Tg,因此典型除气温度会低于350℃。下一代环氧树脂可在约200℃下硬化且具有约225℃的Tg,故提供低于200℃的最大除气温度。预期后代材料可能具有约110℃的硬化温度及具有约135℃的Tg,故提供低于110℃的最大除气温度。通过使用微波辐射将加热作用限制在局部处,可缩短时间,并可通过仅在该基板处均匀加热而严格限制温度。
方法200可进一步包括去除该腔室中的含氧化合物,如步骤208中所示。在除气工艺中,在传送至大气的过程中所累积的化合物(例如,H2O)和加热过程中所释出的化合物(例如,从聚合物/环氧化物层释出的CxOy化合物)将累积在处理区域(processing area)中。在冷却工艺中,这些化合物可能再次吸附,或是所述化合物可能与其他可接触到的成份(例如,暴露出的金属)发生反应。本发明实施方式可采用去除方法来去除腔室中的这些化合物,例如制造真空或使用惰性气流净化该腔室以去除腔室中的这些化合物。
当基板达到足够的H2O减少量,并从该除气腔室中去除该排出气体化合物时,可将已除气的基板移动至金属化预备腔室(pre-metallization chamber),该金属化预备腔室是用于在进行金属化之前进行溅射或化学蚀刻以去除表面污染物。
视情况需要,方法200可包括使用含有惰性气体的等离子体处理该基板,如步骤210中所示。在预清洁和金属沉积腔室/事件之前,可在除气腔室中或在独立腔室中完成该等离子体处理。内部测试显示,使某些聚合物材料暴露在高密度等离子体中(例如可从位于美国加州圣克拉拉市的应用材料公司所取得的Isani XT溅射蚀刻腔室中所使用的高密度等离子体)可能造成聚合物结构分解(breakdown)并释出CxOy和CxHy气体副产物。尤其是含氧副产物对于该金属化前预清洁工艺可能有害。通过在金属化前预清洁工艺之前在独立腔室中进行聚合物的等离子体预处理,可避免危害工艺(process poisoning)。
可在除气工艺(包括上述除气工艺或所属技术领域中已知的其他除气工艺)中的任何阶段进行该选用性的等离子体预处理。在较佳实施方式中,使用氩气或氦气等离子体并将该等离子体输送至基板表面而进行该预释放工艺(pre-release process)。较佳可在进行基板的微波处理且发生主要除气作用之后,才输送该等离子体。可在输送该等离子体时,同时使该腔室或该基板保持在除气温度。
将微波辐射集成至除气腔室中可允许进行集成式硬化(integrated cure)。待完成该集成式硬化之后,该晶片可进行金属化前预清洁步骤和金属沉积步骤而无需使晶片暴露于空气中。集成式的硬化步骤可免除需要单机式硬化炉的需求且可进一步节省半导体制造成本。
内部测试显示出该等离子体处理可使该聚合物中的金属化前气体吸附作用钝化(inert pre-metallization gas adsorption)。在等离子体熄灭之后,会从该聚合物中释出气体。然而,气体脱附速率(desorption rate)有一部分受到晶片温度的控制。若晶片充分冷却,气体将会困在该聚合物中并缓慢地脱附。此种“封孔作用(pore-sealing)”可能有助于降低聚合物膜在金属化前预清洁步骤期间的释气作用(outgassing)。
图3是根据另一个实施方式所示的基板处理方法300。方法300可与标准除气工艺或与上述除气工艺并用。同样地,方法300可与上述预释放等离子体处理中的要件步骤结合使用。
方法300可包括如步骤302中所示般将基板放置在处于除气温度下的热处理腔室中。若此实施方式与上述实施方式结合,则无需移动该基板。在较佳实施方式中,当方法300与方法200结合时,基板可保持位在同一个腔室内。虽然不是必要条件,但较佳实施方式是在完成方法200中的除气步骤之后,并入方法300的一或多个要件步骤。
方法300可进一步包括如步骤304中所示般使惰性气体流入该腔室。惰性气体可为除气工艺提供不反应性的氛围,有助于防止脱附气体与暴露表面之间交互作用,并且可进一步用来帮助去除所述脱附气体。在此步骤中流入腔室中的惰性气体可直接流入该腔室、通过相连的第二装置(例如经由远端等离子体装置)而间接流入该腔室或可通过上述方式的组合而流入该腔室。本发明实施方式中所使用的惰性气体可以是任何不反应且对除气工艺或进行金属化以前的工艺(pre-metallization processes)无害的气体,例如稀有气体,如氩气或氦气。
方法300可包括产生包含惰性气体的等离子体,如步骤306所示。可在该腔室中形成该等离子体或可利用远端等离子体源输送该等离子体。如前述,用来产生等离子体的能量来源可能是任何可取得的能量来源。该等离子体可为感应耦合等离子体、电容耦合等离子体或微波等离子体。该等离子体可用于如上述般的预释放工艺且同时可制备用来进行封孔处理的表面。
方法300可进一步包括将该含有惰性气体的等离子体引导至基板的聚合物/环氧化物层,如步骤308所示。当该聚合物/环氧化物层处于有许多等离子体副产物从该层中释出的情况下,相信使用惰性气体等离子体进行处理可打开该聚合物/环氧化物层表面中的许多空间。已活化的惰性气体填满所述空间和早已存在的空间,并且在出现热能的情况下(例如来自微波辐射的热能或在除气温度下的环境热能),该活化的惰性气体会从该层中释出。
方法300可进一步包括去除该腔室中的含氧化合物,如步骤310所示。在等离子体处理期间,可能从聚合物/环氧化物层中释出化合物,例如CxOy化合物,这些化合物将累积在处理区域中。在冷却工艺中,这些化合物可能再次吸附。本发明实施方式可采用去除方法来去除腔室中的这些化合物,例如制造真空或使用惰性气流净化该腔室以去除腔室中的这些化合物。
若已使用预先惰性气体处理使该聚合物/环氧化物层进行预释放,去除该腔室内的含氧化合物的此步骤则较不重要。因此,若已先进行与该选用性的预释放工艺相关的等效步骤,该实施方式在步骤310中的部分则可能不能为本发明中所述的一或多个实施方式带来益处。
如步骤312中所示,方法300可进一步包括提高该处理腔室中的惰性气体压力。该惰性气体可以是在先前步骤中用来净化腔室的气体。该惰性气体可为任何前述可用的惰性气体(例如氩气或氦气)中的其中一者或组合物。该压力可升高到可使惰性气体至少部分填入该聚合物/环氧化物层表面中所形成或早已存在的孔洞的程度。当升高惰性气体的压力时,该基板应保持在除气温度下最为适当。此步骤可利用所属技术领域中已知的基板标准加热法,或文中所述的微波加热实施方式,或其他方式而实现。
如步骤314所示般,方法300可包括保持该惰性气体的压力且同时降低基板的温度。当该压力达到可用于聚合物/环氧化物层的期望压力大小时,可降低该温度以利用新捕获的惰性气体来封住孔洞。相信该惰性气体可取代在传送过程中进入孔洞中的气体并且不会在后续处理(例如,金属化前预清洁工艺)期间因释出气体而造成有害的影响。可通过使惰性气体流入腔室而降低温度。通过移除外来的热源,可使用恒定的惰性气体气流来维持该压力且同时降低基板的温度。期望使基板的温度降至低于该除气温度。此外,若该腔室的设计允许,可使用位在基板支座内或位在该腔室内的冷却设备来降低温度。
图4示意表示根据本发明一个实施方式的真空多腔室沉积工具400的平面图。真空多腔室沉积工具400包括多个处理腔室,所述处理腔室连接至主机且该主机包括两个传送腔室408和433。
真空多腔室沉积工具400包括前段环境402,该前段环境402可与负载锁定腔室404进行选择性连通。一或多个晶片盒(pod)401与该前段环境402连接。所述一或多个晶片盒401是配置用来储存基板。工厂接口机器人403设置在该前段环境402中。工厂接口机器人403是配置用于在所述晶片盒401与负载锁定腔室404之间传送基板。
负载锁定腔室404在前段环境402与第一传送腔室组件410之间提供真空接口。第一传送腔室组件410的内部区域通常保持真空状态且提供中间区域,并可在该中间区域内将基板从一个腔室转运至另一个腔室和/或转运至负载锁定腔室。
在一个实施方式中,第一传送腔室组件410分为两部分。在本发明的一个实施方式中,第一传送腔室组件410包含传送腔室408和真空延伸腔室407。传送腔室408和真空延伸腔室407连接在一起且彼此间流体连通。在处理期间,第一传送腔室组件410的内部空间通常保持处于低压或真空状态。负载锁定腔室404可分别由狭缝阀405和狭缝阀406而连接至前段环境402和真空延伸腔室407。
在一个实施方式中,传送腔室408可为具有多个侧壁、一底部和一盖的多角形结构。所述多个侧壁可具有贯穿侧壁而形成的开口并配置成与处理腔室、真空延伸腔室和/或通道腔室(pass through chamber)连接。图4所示的传送腔室408具有方形或矩形造形且与处理腔室411、处理腔室413、通道腔室431和真空延伸腔室407连接。传送腔室408可分别经由狭缝阀416、狭缝阀418和狭缝阀417而与处理腔室411、处理腔室413和通道腔室431选择性地连接。
在一个实施方式中,在传送腔室408的底部上形成有机器人端口(robot port),中心机器人409可安装在传送腔室408中的机器人端口处。中心机器人409设置在传送腔室408的内部空间420中并配置成可在处理腔室411、处理腔室413、通道腔室431和负载锁定腔室404之间转运基板414或基板载体441。在一个实施方式中,中心机器人409可包含用来托住基板的两个叶片,每个叶片各自安装在独立控制的机械臂上且所述机械臂安装在同一个机器人底座上。在另一个实施方式中,中心机器人409可具有垂直移动所述叶片的能力。
真空延伸腔室407配置成可提供从真空系统通往第一传送腔室组件410的接口。在一个实施方式中,真空延伸腔室407包含底部、盖子和侧壁。在真空延伸腔室407的底部上可形成压力修改端口(pressure modification port),且该压力修改端口配置成适于真空泵系统。所述侧壁上形成开孔,而使真空延伸腔室407与传送腔室408流体连通,并使真空延伸腔室407与负载锁定腔室404选择性地连通。
在一个实施方式中,真空延伸腔室407包含架(shelf,图中未示出),该架配置用于储放一或多个基板414。与传送腔室408直接或间接连接的处理腔室可将所述腔室的基板储放在该架上并使用中心机器人409传送所述基板。
真空多腔室沉积工具400可进一步包括第二传送腔室组件430,第二传送腔室组件430由通道腔室431而连接至第一传送腔室组件410。在一个实施方式中,通道腔室431类似于负载锁定腔室是配置用来提供两个处理环境之间的接口。在此例子中,通道腔室431提供位在第一传送腔室组件410与第二传送腔室组件430之间的真空接口。
在一个实施方式中,第二传送腔室组件430分为两个部分以使真空多腔室沉积工具400的占地面积减至最小。在本发明的一个实施方式中,第二传送腔室组件430包含传送腔室433和真空延伸腔室432,且传送腔室433与真空延伸腔室432彼此间流体连通。在处理期间,第二传送腔室组件430的内部空间通常保持处于低压或真空状态。通道腔室431可分别由狭缝阀417和狭缝阀438而与传送腔室408和真空延伸腔室432连接,使得传送腔室408内的压力可保持在不同的真空程度。
在一个实施方式中,传送腔室433可为具有多个侧壁、一底部和一盖的多角形结构。所述多个侧壁可具有形成在侧壁中的开口且配置成可与处理腔室、真空延伸腔室和/或通道腔室连接。图4所示的传送腔室433具有方形或矩形造形且与处理腔室435、处理腔室436、处理腔室437和真空延伸腔室432连接。传送腔室433可分别经由狭缝阀441、狭缝阀440和狭缝阀439而与处理腔室435、处理腔室436和处理腔室437选择性地连通。
在传送腔室433的底部上形成有机器人端口(robot port),中心机器人434可安装在传送腔室433中的机器人端口处。中心机器人434设置在传送腔室433的内部空间449中并配置成可在处理腔室435、处理腔室436、处理腔室437和通道腔室431之间转运基板414或基板载体441。在一个实施方式中,中心机器人434可包含用来托住基板的两个叶片,每个叶片各自安装在独立控制的机械臂上且所述机械臂安装在同一个机器人底座上。在另一个实施方式中,中心机器人434可具有垂直移动所述叶片的能力。
在一个实施方式中,真空延伸腔室432配置成可提供在真空系统与第二传送腔室组件430之间的接口。在一个实施方式中,真空延伸腔室432包含底部、盖子和侧壁。在真空延伸腔室432的底部上可形成压力修改端口,且该压力修改端口配置成适于真空泵系统。形成贯穿所述侧壁的多个开孔,而使真空延伸腔室432与传送腔室433流体连通,并使真空延伸腔室432与通道腔室431选择性地连通。
在本发明的一个实施方式中,真空延伸腔室432包含架(图中未示出),该架类似于以上配合真空延伸腔室407所描述的架。与传送腔室433直接或间接连接的处理腔室可将基板储放在该架上。
基板载体(例如,载体441)可与任何处理结构并用而能够同时处理多个基板(例如基板443)。在一个实施方式中,该基板载体可能是由实质刚性材料所制成的薄板,且该材料能够承受文中所述工艺所创造出的处理环境。在某些实施方式中,该载体可为玻璃制或硅制的浅盘。在其他实施方式中,该载体可为陶瓷或复合材料,例如,碳复合材料。在某些实施方式中,该载体可为涂布有工艺耐受性材料(process-resistant material)的浅盘,例如涂有玻璃或陶瓷的浅盘。该载体可以是半导体工艺中常用的300毫米(mm)的基板。在某些实施方式中,该载体可塑造成方便进行基板处理或拿取基板的形状。例如,该载体可具有多个突起或下凹部分,以利于控制放置在该载体上的个别基板。在一个实施方式中,该载体上的各个基板位置可在该位置周围形成沟槽,以允许具有抓取延伸部位的机器人可接触到放置于该位置上的基板的边缘。在另一个实施方式中,在该载体的基板位置的中心处可形成凹陷,以允许具有抓取延伸部位的机器人可接触到具有中心开口的基板的内侧边缘。在某些实施方式中,该载体上的所述基板位置可能下凹,而可在传送该载体时防止基板在该载体上移动。
通常是在密闭的腔室中处理基板,且该腔室具有基座(pedestal)以用于支撑放置在该基座上的基板。该基座可包含基板支座(substrate support),该基板支座具有设置在该基座内的电极,用以在处理期间将该基板以静电方式固定在该基板支座上。在较高腔室压力的工艺耐受性方面,该基座或可包含具有开口的基板支座,所述开口与真空来源连通以用于在处理期间将基板牢固地固定在该基板支座上。
可在腔室411、腔室413、腔室435、腔室436或腔室437任一者内进行的工艺包括沉积工艺、注入工艺和热处理工艺,等等。在一个实施方式中,诸如腔室411、腔室413、腔室435、腔室436或腔室437其中任一者的腔室配置成可在一基板或同时在多个基板上进行溅射工艺。在另一个实施方式中,腔室411为如参阅图1所述的除气腔室。在进一步实施方式中,腔室413是金属化前预清洁腔室。此实施方式中的金属化前预清洁腔室使用含有惰性气体(例如氩气)的溅射清洁工艺。在进一步实施方式中,腔室435为沉积腔室。与本文中所述实施方式并用的该沉积腔室可为任何已知的沉积腔室。
本文中所述实施方式涉及用于基板除气的方法和设备。相较于标准热除气单元而言,使用微波辐射允许使用较低温度和得到较高产能的除气步骤。此外,微波除气实施方式可包含在单一个腔室中进行硬化和除气两步骤。再者,发现到可用于金属化的气体包括累积性气体(accumulated gas)(例如H2O)和所生成的气体(例如,使用等离子体处理该聚合物/环氧化物层之后所产生的气体)两种气体。通过采用预释放步骤(pre-release stage)、封孔步骤(pore sealing stage)或两者的组合作为基板除气工艺的一部分,可在进行金属化前预清洁步骤之前,先去除基板中的累积气体和生成气体两者。相信以上所公开的除气工艺能在后续沉积工艺中形成较高品质的金属特征结构。
尽管上述内容涉及本发明的多个实施方式,但在不偏离本发明的基本范围下,当可做出本发明的其他和进一步实施方式,且本发明范围由随附权利要求书的范围所决定。

Claims (12)

1.一种用于基板的真空多腔室沉积设备,包括:
负载锁定腔室;
第一传送腔室,在所述第一传送腔室内安装有第一中心机器人;
第二传送腔室,在所述第二传送腔室内安装有第二中心机器人;
除气腔室,所述除气腔室包括:
腔室主体;
基板支座,所述基板支座配置为支撑所述基板;
基板加热机构,所述基板加热机构配置为加热所述基板;
温度测量装置;和
变频微波辐射源,所述变频微波辐射源配置为朝向所述基板支座输送变频微波辐射;
金属化前预清洁腔室,所述金属化前预清洁腔室与所述除气腔室流体连通以用于采用包含惰性气体的溅射清洁工艺;和
沉积腔室,所述沉积腔室与所述金属化前预清洁腔室流体连通。
2.如权利要求1所述的设备,其中所述变频微波辐射源使用两个或更多个频率,且所述两个或更多个频率之间的差在200Hz至280Hz之间。
3.如权利要求1所述的设备,其中所述变频微波辐射的频率每25微秒改变一次。
4.如权利要求1所述的设备,其中所述变频微波辐射的频率的范围从5.85GHz至7.0GHz。
5.如权利要求1所述的设备,其中所述除气腔室进一步包括等离子体源。
6.如权利要求1所述的设备,其中所述变频微波辐射源包括微波功率供应器,所述微波功率供应器选自由以下组成的组中:磁控管、速度调制电子管、回旋管和行波管。
7.一种基板除气方法,依序包括以下步骤:
将包含聚合物或环氧化物的基板放置在处理腔室中;
使所述腔室保持在除气温度与玻璃转化温度之间;
使惰性气体流入所述腔室;
产生包含所述惰性气体的等离子体;
使所述基板暴露于包含所述惰性气体的等离子体;
去除所述腔室内的含氧化合物;
升高所述腔室中的所述惰性气体的压力;和
保持所述惰性气体的压力且同时冷却所述基板至比所述除气温度低的温度。
8.如权利要求7所述的方法,其中从将所述基板放置在所述处理腔室中起,所述基板保持在介于所述除气温度与所述玻璃转化温度之间的温度,直到已从所述腔室中去除含氧化合物。
9.如权利要求7所述的方法,进一步包括在使惰性气体流入所述腔室中之前,先输送变频微波辐射至所述基板。
10.如权利要求9所述的方法,其中所述变频微波辐射的频率在5.85GHz至7.0GHz的范围改变。
11.一种基板除气方法,依序包括以下步骤:
将包含聚合物或环氧化物的基板放置在处理腔室中;
引导变频微波辐射源以输送微波辐射至所述基板;
以一频率输送微波辐射至所述基板且所述频率在短发射时间内会改变,同时使所述基板的温度保持在除气温度,其中所述短发射时间从20微秒至30微秒;
去除所述腔室内的第一组含氧化合物;
使惰性气体流入所述腔室;
产生包含所述惰性气体的等离子体;
使所述基板暴露于包含所述惰性气体的等离子体;
去除所述腔室内的第二组含氧化合物;
升高所述腔室中的所述惰性气体的压力;和
保持所述惰性气体的压力且同时冷却所述基板至比所述除气温度低的温度。
12.如权利要求11所述的方法,其中从将所述基板放置在所述处理腔室中起,所述基板保持在介于所述除气温度与玻璃转化温度之间的温度,直到已从所述腔室中去除所述第二组含氧化合物。
CN201380046614.7A 2012-09-07 2013-08-06 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理 Active CN104603914B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261698386P 2012-09-07 2012-09-07
US61/698,386 2012-09-07
US13/960,236 US9171714B2 (en) 2012-09-07 2013-08-06 Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
US13/960,236 2013-08-06
PCT/US2013/053830 WO2014039194A1 (en) 2012-09-07 2013-08-06 Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation

Publications (2)

Publication Number Publication Date
CN104603914A CN104603914A (zh) 2015-05-06
CN104603914B true CN104603914B (zh) 2017-07-14

Family

ID=50231741

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380046614.7A Active CN104603914B (zh) 2012-09-07 2013-08-06 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理

Country Status (6)

Country Link
US (1) US9171714B2 (zh)
KR (2) KR102296150B1 (zh)
CN (1) CN104603914B (zh)
SG (1) SG11201501144TA (zh)
TW (1) TWI615495B (zh)
WO (1) WO2014039194A1 (zh)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102296150B1 (ko) * 2012-09-07 2021-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10172189B2 (en) * 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10113984B2 (en) 2014-05-15 2018-10-30 Washington University Integrated EPR NMR with frequency agile gyrotron
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298585B (zh) * 2015-06-03 2020-10-16 北京北方华创微电子装备有限公司 腔室及半导体加工设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170365490A1 (en) * 2016-06-19 2017-12-21 Applied Materials, Inc. Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI644383B (zh) 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN107706127A (zh) * 2017-07-18 2018-02-16 中国科学院微电子研究所 一种混合退火装置及退火方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN110709967B (zh) 2017-07-24 2023-09-01 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111201201B (zh) * 2017-08-11 2022-12-02 埃奎森斯技术有限责任公司 辐照装置和方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7394771B2 (ja) * 2018-01-29 2023-12-08 アプライド マテリアルズ インコーポレイテッド 光学装置改善のための湿潤層
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10811370B2 (en) 2018-04-24 2020-10-20 Cree, Inc. Packaged electronic circuits having moisture protection encapsulation and methods of forming same
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200206775A1 (en) * 2018-12-26 2020-07-02 Applied Materials, Inc. Methods for forming microwave tunable composited thin-film dielectric layer
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020156660A1 (en) * 2019-01-30 2020-08-06 Applied Materials, Inc. Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US20210001520A1 (en) * 2019-07-07 2021-01-07 Applied Materials, Inc. Methods and apparatus for microwave processing of polymer materials
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111106062A (zh) * 2019-12-31 2020-05-05 华虹半导体(无锡)有限公司 脱气工艺方法和金属硬掩膜层的制造方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN115679291A (zh) * 2021-07-28 2023-02-03 长鑫存储技术有限公司 通过沉积工艺形成薄膜的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5726433A (en) * 1980-07-23 1982-02-12 Hitachi Ltd Bake of photoresist or the like and apparatus therefor
US5191182A (en) * 1990-07-11 1993-03-02 International Business Machines Corporation Tuneable apparatus for microwave processing
US6150645A (en) * 1990-07-11 2000-11-21 International Business Machines Corporation Radiation control system
US5106787A (en) 1990-11-19 1992-04-21 Taiwan Semiconductor Manufacturing Co. Method for high vacuum controlled ramping curing furnace for SOG planarization
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6379994B1 (en) * 1995-09-25 2002-04-30 Canon Kabushiki Kaisha Method for manufacturing photovoltaic element
US5738915A (en) * 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
KR100466307B1 (ko) * 1997-10-25 2005-05-19 삼성전자주식회사 반도체소자제조장치및이를이용한디가스공정,식각공정및열처리공정
DE19810260C2 (de) * 1998-03-10 2000-02-24 Degussa Verfahren zum Beschichten der Strömungskanäle eines wabenförmigen Katalysatorkörpers mit einer Dispersionsbeschichtung
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6841201B2 (en) 2001-12-21 2005-01-11 The Procter & Gamble Company Apparatus and method for treating a workpiece using plasma generated from microwave radiation
JP4467439B2 (ja) * 2003-03-18 2010-05-26 ダウ・コーニング・コーポレイション 導電性組成物及び該導電性組成物の使用法
CN1788323A (zh) * 2003-03-18 2006-06-14 陶氏康宁公司 导电组合物和使用该组合物的方法
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
DE102004015865B4 (de) 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US20070095823A1 (en) * 2005-10-27 2007-05-03 Sedlmayr Steven R Microwave nucleon-electron-bonding spin alignment and alteration of materials
US20090134152A1 (en) * 2005-10-27 2009-05-28 Sedlmayr Steven R Microwave nucleon-electron-bonding spin alignment and alteration of materials
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070167029A1 (en) * 2005-11-11 2007-07-19 Kowalski Jeffrey M Thermal processing system, components, and methods
TWI547999B (zh) * 2007-09-17 2016-09-01 Dsgi公司 微波退火半導體材料的系統及方法
DE102008026133B4 (de) 2008-05-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US8866271B2 (en) * 2010-10-07 2014-10-21 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
JP2013069602A (ja) * 2011-09-26 2013-04-18 Tokyo Electron Ltd マイクロ波処理装置および被処理体の処理方法
KR102296150B1 (ko) * 2012-09-07 2021-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
WO2015026445A1 (en) * 2013-08-21 2015-02-26 Applied Materials, Inc. Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications

Also Published As

Publication number Publication date
TW201413030A (zh) 2014-04-01
KR20200084923A (ko) 2020-07-13
SG11201501144TA (en) 2015-04-29
KR102132427B1 (ko) 2020-07-09
US9171714B2 (en) 2015-10-27
KR20150052294A (ko) 2015-05-13
KR102296150B1 (ko) 2021-08-30
TWI615495B (zh) 2018-02-21
WO2014039194A1 (en) 2014-03-13
US20140068962A1 (en) 2014-03-13
CN104603914A (zh) 2015-05-06

Similar Documents

Publication Publication Date Title
CN104603914B (zh) 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
CN105453227B (zh) 半导体薄膜制造中的变频微波(vfm)工艺及应用
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
US9018108B2 (en) Low shrinkage dielectric films
US20100140756A1 (en) Apparatus for manufacturing silicon oxide thin film and method for forming the silicon oxide thin film
KR20150009959A (ko) 유동가능 필름들을 위한 개선된 조밀화
JP2016096331A (ja) 流動性膜の硬化浸透深度の改善及び応力調整
KR20180028541A (ko) 저온 경화 모듈러스 강화
JP2007273535A (ja) プラズマ原子層成長方法及び装置
US20200381248A1 (en) Methods of post treating dielectric films with microwave radiation
KR20230168972A (ko) 유동성 갭 충진을 위한 방법 및 장치
JP2500411B2 (ja) シリコン系化合物膜の形成方法
JP2004095900A (ja) アルミナ膜の成膜方法
JP2006173235A (ja) 絶縁層の形成方法、熱処理装置及び記憶媒体
JP2004207766A (ja) 表面処理方法及びその装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant