TWI615495B - 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理 - Google Patents

多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理 Download PDF

Info

Publication number
TWI615495B
TWI615495B TW102128315A TW102128315A TWI615495B TW I615495 B TWI615495 B TW I615495B TW 102128315 A TW102128315 A TW 102128315A TW 102128315 A TW102128315 A TW 102128315A TW I615495 B TWI615495 B TW I615495B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
degassing
inert gas
temperature
Prior art date
Application number
TW102128315A
Other languages
English (en)
Other versions
TW201413030A (zh
Inventor
莫莉葛蘭T
歐岳昇
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201413030A publication Critical patent/TW201413030A/zh
Application granted granted Critical
Publication of TWI615495B publication Critical patent/TWI615495B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本文中描述用於處理基材的方法和設備。真空多腔室沉積工具可包含除氣腔室,且該除氣腔室具有加熱機構和變頻微波源兩者。用於進行基材除氣的方法可包括將含有聚合物或環氧化物的基材配置在處理腔室內並使該處理腔室保持在除氣溫度與玻璃轉化溫度之間,使該基材暴露於變頻微波輻射,使該基材暴露於包含惰性氣體的電漿,去除該腔室中的含氧化合物,升高該腔室中之惰性氣體的壓力,及保持該惰性氣體的壓力且同時冷卻該基材至比除氣溫度低的溫度。

Description

多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理
本發明實施例大體上是關於使用微波輻射進行基材處理的設備和方法。
為了滿足對於越小且更強大之裝置的需求,不斷縮減半導體上整合構件的尺寸。當半導體元件上的特徵結構變更小時,需研發出先進的聚合物和介電質以允許特徵結構可更密集地配置。這些新的介電質和聚合物採用提高的孔隙度以提供可與縮減尺寸及提高密集度並存的特性。
沉積高品質的金屬膜需要不含殘餘物並使揮發性材料脫附(desorption)的乾淨表面。在半導體製造和封裝實務上的一般做法是使用熱除氣製程且隨後在進行金屬沉積之前通常會進行一道清洗步驟。此等製程一般在原位上進行(在進行金屬沉積之前不會暴露於空氣中)以避免再污染基材和新進薄膜。熱除氣製程通常涉及在傳統烤爐中的熱板上加熱基材或 藉由燈陣列使用紅外線加熱該基材。
不論使用加熱板或紅外線(IR)輻射燈加熱該基材皆可完成除氣步驟。然而,此兩種發熱加熱法(thermal heating)實施例不足以使先進薄膜除氣。發熱加熱法耗費相當長的時間,且該等工具的產率極低。再者,標準熱處理製程可能很容易使先進薄膜達到會生成次要的含氧氣體或導致該膜層發生玻璃轉化作用的溫度。
因此,在所屬技術領域中,需要能使薄膜有效除氣,同時保持在沉積薄膜之熱處理範圍內進行加熱的方法和設備。
在一實施例中,真空多腔室沉積工具可包含除氣腔室,且該除氣腔室可包含腔室主體、建構用於支撐具有選定直徑基材的基材支座、建構用於加熱該基材的加熱機構、溫度測量裝置及建構用於朝向該基材支座表面輸送變頻微波輻射的變頻微波輻射源;及該工具可包含金屬化前欲清洗腔室(pre-metallization clean chamber)。
在另一實施例中,基材除氣方法可包括將包含聚合物或環氧化物的基材配置在處理腔室中,其中該腔室保持在除氣溫度與玻璃轉化溫度之間,使惰性氣體流入該腔室,產生包含該惰性氣體的電漿,使該基材暴露於包含該惰性氣體的電漿,去除該腔室內的含氧化合物,升高該腔室中之惰性氣體的壓力,及保持該惰性氣體的壓力且同時冷卻該基材至比該除氣溫度低的溫度。
在另一實施例中,基材除氣方法可包括將包含聚合物或環氧化物的基材配置在處理腔室中,配置變頻微波輻射源藉以輸送微波輻射至該基材,在多個短發射時間(short bursts of time)內以一個以上的頻率輸送微波輻射至該基材,同時使該基材的溫度保持在高於除氣溫度的溫度下,及去除該腔室內的第一組含氧化合物。
在另一實施例中,真空多腔室沉積工具可包括:裝載鎖定腔室;第一傳送腔室且在該第一傳送腔室內安裝有第一中心機器人;第二傳送腔室且在該第二傳送腔室內安裝有第二中心機器人;及除氣腔室,該除氣腔室包括腔室主體、建構用於支撐具有選定直徑之基材的基材支座、建構用於加熱該基材的基材加熱機構、溫度測量裝置及變頻微波輻射源,該變頻微波輻射源使用選自範圍介在5.85GHz至7.0GHz之間的兩個或更多個頻率且該兩個或更多個頻率間的差異介在200Hz至280Hz之間,且該變頻微波輻射源係建構用於朝向該基材支座表面輸送變頻微波輻射;金屬化前預清洗腔室(pre-metallization clean chamber),且該金屬化前預清洗腔室與該除氣腔室流體連通並採用包含惰性氣體的濺射清洗製程;及沉積腔室,該沉積腔室與該金屬化前預清洗腔室流體連通。
100‧‧‧除氣腔室
102‧‧‧基材支座
104‧‧‧基材
105‧‧‧聚合物/環氧化物層
106‧‧‧熱源
108‧‧‧變頻微波輻射源
110‧‧‧微波功率源
111‧‧‧微波腔
112‧‧‧變頻微波能量
114‧‧‧氣源
116‧‧‧電漿源
118‧‧‧真空源
200‧‧‧方法
202、204、206、208、210‧‧‧步驟
300‧‧‧方法
302、304、306、308、310、312、314‧‧‧步驟
400‧‧‧真空多腔室沉積工具
401‧‧‧晶圓盒
402‧‧‧前段環境
403‧‧‧工廠介面機器人
404‧‧‧裝載鎖定腔室
405、406‧‧‧狹縫閥
407‧‧‧真空延伸腔室
408‧‧‧傳送腔室
409‧‧‧中心機器人
410‧‧‧第一傳送腔室組件
411‧‧‧處理腔室
413‧‧‧處理腔室
414‧‧‧基材
416、417、418‧‧‧狹縫閥
420‧‧‧內部體積
430‧‧‧第二傳送腔室組件
431‧‧‧通道腔室
432‧‧‧真空延伸腔室
433‧‧‧腔室
434‧‧‧中心機器人
435、436、437‧‧‧處理腔室
438、439、440‧‧‧狹縫閥
441‧‧‧基材載體
443‧‧‧基材
449‧‧‧內部體積
為能詳細瞭解本發明以上所述特徵,可參照多個實施例針對以上簡要整理的本發明提出更具體的描述,部分實施例圖示於附圖中。然而應注意,該等附圖僅圖式本發明的 代表性實施例,且因此該等附圖不應視為是本發明範圍的限制,本發明可容許做初期他等效實施例。
第1圖是根據一實施例之除氣腔室的概要圖;第2圖是根據一實施例之除氣方法的方塊圖;第3圖是根據另一實施例之除氣方法的方塊圖;及第4圖是根據一實施例之真空多腔室沉積工具的平面圖。
為幫助理解,盡可能地使用相同元件符號代表該等圖式中共有的相同元件。無需特別說明便可思及一實施例中所揭示的元件可有利地應用在其他實施例上。
本文中描述用於處理基材的方法及設備。真空多腔室沉積工具可包含除氣腔室,且該除氣腔室兼具有加熱機構和變頻微波源。基材除氣方法可包括將包含聚合物或環氧化物的基材配置在處理腔室中且該處理腔室保持在除氣溫度與玻璃轉化溫度之間,使該基材暴露於變頻微波輻射,使該基材暴露於含有惰性氣體的電漿,去除該腔室內的含氧化合物,升高該腔室中的惰性氣體壓力,及保持該惰性氣體的壓力且同時冷卻該基材至比該除氣溫度低的溫度。
半導體製造和封裝作業越來越多涉及到使用多孔介電質、環氧化物和聚合物材料。在半導體元件製造上,這些材料可用來作為金屬之間的介電膜和最終的鈍化膜。在半導體封裝上,這些材料可用來作為基材、可作為用來黏合元件晶圓與載體基材的接合化合物及可作為用於晶圓上覆晶片堆 疊技術(chip-on-wafer stacking)與內連線技術(interconnect)中的底部填充材料。
當這些介電質、環氧化物和聚合物材料暴露於空氣中時容易吸收水份且經常含有殘留的揮發性材料,這些揮發性材料可能在後續金屬沉積製程期間釋出氣體。釋出氣體可能造成品質不佳且附著力差的金屬膜、高電阻、不良的薄膜型態/性質及其他問題。因此,在進行金屬沉積之前,聚合物和環氧化物材料需要更積極有力的除氣步驟。
在以下所述實施例中,係於金屬化步驟之前採用變頻微波(VFM)來減少基材除氣處理所需要的時間和溫度。在進一步實施例中可包括在進行金屬化前的預清洗步驟之前,使用惰性電漿來預先釋放出聚合物/環氧化物材料中的揮發性副產物。在進一步實施例中可包括在進行金屬化前的預清洗步驟之前,使用惰性氣體封住聚合物/環氧化物的孔隙。藉由參閱以下圖式可更佳地理解本申請案的實施例。
第1圖是根據一實施例所示之除氣腔室100的概要圖。一或多個目前可取得的腔室可經調整以適用於以下所述之本發明實施例。能與本發明實施例併用的腔室可包括購自位在美國加州聖塔克拉拉市之應用材料公司的Charger UBM系統。可預想到,在不偏離所揭示發明的情況下,根據所述實施例可使用其他腔室(包括來自其他製造商的腔室在內)。
除氣腔室100可包括用於支撐基材104的基材支座102。基材支座102可由標準材料組成,例如由鋁、石英、陶瓷或不鏽鋼所組成。此外,基材支座102可設計用來支撐任 何尺寸的基材,例如用來支撐300毫米的基材。各種加熱及冷卻裝置可埋設在基材支座102或除氣腔室內100或配置成與基材支座102或除氣腔室內100連接,藉以傳遞加熱或冷卻作用至基材104。
基材104可以是任何可用於半導體應用中的組成物,例如矽、環氧化物或石英基材。在基材104上可沉積有聚合物/環氧化物層105。聚合物/環氧化物層105是可沉積在基材104表面上且隨後在基材104上進行硬化的膜層。可藉由將液體聚合物旋塗在基材104上而形成聚合物/環氧化物層105。隨後可使聚合物/環氧化物層105進行硬化以使聚合物/環氧化物層105硬化和交聯。可由多種聚合物或環氧化物(例如,聚醯亞胺類或聚苯二[口咢]唑(polybenzobisoxazole,PBO))的其中之一構成該聚合物/環氧化物層105。
應理解,用於沉積聚合物/環氧化物層的其他沉積技術可達到良好的等效作用而不會偏離文中所述實施例。例如能預期到可使用真空貼合法(vacuum lamination)將聚合物/環氧化物乾膜貼在基材104上以配置該聚合物/環氧化物層105。進一步實施例中可包括利用化學氣相沉積法(CVD)沉積聚合物/環氧化物層105。
除氣腔室100亦可具有熱源106,例如本文中所繪示埋設在基材支座102中的熱源106。儘管第1圖中繪示該熱源106是埋設在基材支座102中的電阻式熱源,但熱源106可以是任何可用來使基材進行除氣的熱源,例如紅外線發熱燈熱源。來自熱源106的熱能可直接輸送至基材104或藉由 改變腔室溫度而間接輸送至基材104。熱源106可設計成用於加熱基材並使基材維持在一穩定溫度,例如介於100℃至500℃之間的溫度。該熱源可採任意一種設計且配置在任何允許輸送能量以加熱基材的位置中。
除氣腔室100可進一步包括變頻微波輻射源108。變頻微波輻射源108可包括微波功率源110。微波功率源110可選自所有可取得的微波功率源中,包括磁控管、速度調制電子管(klystron)、迴旋管(gyrotron)及行波管(traveling wave tube)。變頻微波輻射源108亦可包含微波腔111。微波腔111可為單模式腔、多模式腔或該等腔的組合。微波腔111可接收來自微波功率源110的功率。
變頻微波能量112可包括可用頻率範圍內的連續掃頻(continuous sweeping of frequencies)。連續掃描可避免金屬層中累積電荷,因而可降低發生電弧及後續損傷的可能性。通常藉由選擇中心頻率且隨後以實質連續方式快速地掃描某些範圍內的頻率。通常,頻率掃描可包括在該中心頻率±5%範圍內的頻率,然而此範圍可取決於某些因子(例如,微波源的種類及與微波波長相比下該微波腔的整體尺寸)而有所變化。
變頻微波能量112的頻率範圍可為特定頻率範圍,例如5.85GHz至7.0GHz的範圍。此外,該頻率範圍可以劃分成多個頻率,這些頻率彼此間具有特定間隔,例如為經選擇而以200Hz與280Hz之間的頻率所分隔的複數個頻率範圍。例如,260Hz的間隔可產生4096個選定頻率,並可從該 4096個頻率中選出該變頻微波能量。可進一步在每個選定頻率範圍的短暫發射時間內(例如每個頻率發射20微秒至30微秒的短時間,例如25微秒),將頻率掃描期間所輸送的變頻微波能量112輸送至基材104。
除氣腔室100可進一步包含氣源114。氣源114可輸送惰性氣體,例如包含氬氣或氦氣的氣體。氣源114可根據腔室的尺寸及預處理的基材尺寸而使用指定的流動速率輸送氣體至腔室。氣源114可與腔室直接連通,或者氣源114在將氣體輸送至該腔室之前,可例如使貴重氣體在遠端電漿源中轉化成電漿後才間接輸送至腔室中。氣源114可配置成用於將氣體輸送至整個基材104上,從而可冷卻基材104且兼輸送所選定的惰性氣體。
除氣腔室100亦可包含電漿源116。電漿源116可用惰性氣體產生電漿,例如由含有氬氣或氦氣的氣體產生電漿。電漿源116可在該腔室內形成電漿或在遠端來源中形成電漿。電漿源116可接收來自氣源114的氣流,或電漿源116可具有獨立氣流(圖中未示出)。電漿源116中所使用的惰性氣體或含有惰性氣體的組合物與氣源116中所使用的惰性氣體或含有惰性氣體的組合物未必相同。電漿源116可使用藉由所有可用之電漿生成技術所形成的電漿,包括感應耦合電漿、電容耦合電漿或微波電漿。電漿源116可將電漿直接輸送至基材104處或廣泛地輸送至除氣腔室100。
可預期到,文中所述實施例可無需更換腔室便可用於在該除氣腔室100中使具有聚合物/環氧化物層105的基材 104進行硬化和除氣。此做法將可減少吸收大氣中的水份,從而縮減基材104除氣所需的處理時間。
除氣腔室100可進一步包含真空源118。真空源118可用於例如在電漿處理期間維持真空且兼去除該除氣步驟中不想要的副產物,例如可去除在使用電漿處理聚合物/環氧化物層105期間所產生的副產物。當進行基材處理期間(例如在進行金屬化之前的預清洗過程中),聚合物/環氧化物層105可能釋出該等副產物(例如,CxOy及CxHy氣體副產物),而該等副產物可能影響進一步的處理步驟。
除氣腔室100可與一或多個相關腔室流體連通,該等相關腔室可例如是金屬化前預清洗腔室(圖中未示出)。多腔室單元(圖中未示出)包含金屬化前預清洗腔室及沉積腔室,而除氣腔室100亦可作為多腔室單元的一部分。使腔室之間流體連通(特別是在除氣步驟之後)有助於防止進一步累積H2O和其他雜質。
重要的是,需注意儘管文中所述實施例焦點放在基材上的聚合物/環氧化物層,然而文中所述實施例亦可等效地應用於實質上由聚合物或環氧化物所形成而需要進行除氣步驟的基材。
第2圖是根據一實施例所示之方法200的塊狀圖。方法200可包括將基材配置在處於除氣溫度下的熱處理腔室中(如步驟202所示)。熱處理腔室可為如以上所述的處理腔室。此外,該熱處理腔室可作為多腔室單元的一部分。基材可配置在基材支座上,且該基材支座的溫度保持處在除氣溫 度。該除氣溫度可取決於材料而定或處於一標準溫度。實施例可包括單獨控制該基材處的溫度,或控制該處理腔室的溫度藉以間接控制基材溫度。
已知對於使基材數個部分金屬化的製備製程而言,除氣溫度是一個有用的要素。除其他種種因素之外,金屬化製程期間進行除氣步驟可能造成使所沉積的金屬受到污染和具有高電阻。主要是認為H2O會造成大部分的有害影響,而藉由使基材除氣可避免該等有害影響。預期在任合溫度下皆可去除表面的H2O。內部的水份要在50℃或高於50℃的溫度下才會開始脫除。因此,若要能適當除氣,在顧及到未露出表面之區域的特殊考量下提供均勻加熱是很重要的。
方法200可包括如步驟204中所示般引導變頻微波輻射源指向基材。該微波輻射源可採用任何允許以變頻方式將一或多種波長之微波輻射輸送至基材的設計,該等設計可包括以上所述實施例。該微波輻射源可配置成用於輸送微波輻射至基材。此外,該微波輻射源可相對於基材位置而配置成各種角度,只要基材表面上的聚合物/環氧化物層能接收到至少一部份的微波輻射即可。
相信微波輻射將可實質縮減除氣時間,但需使用直接加熱做為輔助。利用微波能量使偶極性分子振動。此振動作用可產生熱能而可用來減少累積在多孔性聚合物材料中的H2O和其他被吸收的分子。微波加熱作用係仰賴該等偶極分子(例如,H2O),當除氣作用持續進行時,該等偶極分子將會從薄膜中逸出。如此,微波輻射所產生的加熱作用將縮短除 氣製程的整體時間且將需要輔以直接加熱或紅外線(IR)加熱以完成該除氣製程。
當除氣製程使用微波輻射且兼使用熱處理法來處理基材時,該除氣溫度不一定就是代表標準除氣溫度。因此,該腔室溫度可能低於基材所處溫度(substrate localized temperature)以允許在處理之後可較快地冷卻該基材且可避免因過度加熱聚合物/環氧化物層造成有害影響。
方法200可進一步包括以變化的頻率輸送微波輻射至該基材,如步驟206中所示者。引導該變頻微波輻射源指向該基材之後,可用變化的頻率輸送一或多種波長的微波輻射至該基材。可採用特定波長的預定頻率輸送該微波輻射。可從一系列頻率中隨機選擇該等頻率,或可以特定順序選出該等頻率。根據所使用的某些頻率或多個頻率的某些組合而定,某些材料可能較不易累積能量。所用的頻率及所用的微波輻射波長兩者皆可能影響能量的累積作用。
在不受理論拘束下,單頻微波輻射不適合用來進行金屬化之前的基材除氣。單頻微波輻射可能使能量累積在經過處理的基材中,例如累積在具有金屬特徵結構的基材中。使用變頻微波能量源可防止能量累積在已經過處理之基材的膜層中。可採用變頻微波源以防止元件(例如,MOS元件)中發生電弧放電現象並促進均勻加熱該聚合物/環氧化物層。
使基材除氣以達到適當低的H2O含量且同時保持元件完整性需要考慮到諸多因子。除氣作用發生在特定溫度下且持續一段時間。較高溫度將縮短除氣所需要的時間。然而, 由於聚合物層的玻璃轉化溫度(Tg)相對較低,因此在決定勢當的除氣溫度時,必需考慮到該層的Tg。例如,聚醯亞胺膜(PBO)會在350℃硬化且具有375℃的Tg,因此典型除氣溫度會低於350℃。下一代環氧樹脂可在約200℃下硬化且具有約225℃的Tg,故提供低於200℃的最大除氣溫度。預期後代材料可能具有約110℃的硬化溫度及具有約135℃的Tg,故提供低於110℃的最大除氣溫度。藉由使用微波輻射將加熱作用限制在局部處,可縮短時間,並可藉由僅在該基材處均勻加熱而嚴格限制溫度。
方法200可進一步包括去除該腔室中的含氧化合物,如步驟208中所示者。在除氣製程中,在傳送至大氣之過程中所累積的化合物(例如,H2O)及加熱過程中所釋出的化合物(例如,從聚合物/環氧化物層釋出的CxOy化合物)將累積在處理區域(processing area)中。在冷卻製程中,此等化合物可能再次吸附,或是該等化合物可能與其他可接觸到的成份(例如,暴露出的金屬)發生反應。本發明實施例可採用去除方法來去除腔室中的此等化合物,例如製造真空或使用惰性氣流清洗該腔室以去除腔室中的此等化合物。
當基材達到足夠的H2O減少量,並從該除氣腔室中去除該排出氣體化合物時,可將已除氣的基材移動至金屬化預備腔室(pre-metallization chamber),該金屬化預備腔室是用於在進行金屬化之前進行濺射或化學蝕刻以去除表面污染物。
視情況需要,方法200可包括使用含有惰性氣體的 電漿處理該基材,如步驟210中所示。在預清洗及金屬沉積腔室/事件之前,可在除氣腔室中或在獨立腔室中完成該電漿處理。內部測試顯示,使某些聚合物材料暴露在高密度電漿中(例如可從位於美國加州聖塔克拉拉市之應用材料公司所取得的Isani XT濺射蝕刻腔室中所使用的高密度電漿)可能造成聚合物結構崩解(breakdown)並釋出CxOy及CxHy氣體副產物。尤其是含氧副產物對於該金屬化前預清洗製程可能有害。藉著在金屬化前預清洗製程之前在獨立腔室中進行聚合物的電漿預處理,可避免危害製程(process poisoning)。
可在除氣製程(包括上述除氣製程或所屬技術領域中已知的其他除氣製程)中的任何階段進行該選用性的電漿預處理。在較佳實施例中,使用氬氣或氦氣電漿並將該電漿輸送至基材表面而進行該預釋放製程(pre-release process)。較佳可在進行基材的微波處理且發生主要除氣作用之後,才輸送該電漿。可在輸送該電漿時,同時使該腔室或該基材保持在除氣溫度。
將微波輻射整合至除氣腔室中可允許進行整合式硬化(integrated cure)。待完成該整合式硬化之後,該晶圓可進行金屬化前預清洗步驟和金屬沉積步驟而無需使晶圓暴露於空氣中。整合式的硬化步驟可免除需要單機式硬化爐的需求且可進一步節省半導體製造成本。
內部測試顯示出該電漿處理可使該聚合物中的金屬化前氣體吸附作用鈍化(inert pre-metallization gas adsorption)。在電漿熄滅之後,會從該聚合物中釋出氣體。然 而,氣體脫附速率(desorption rate)有一部分受到晶圓溫度的控制。若晶圓充分冷卻,氣體將會困在該聚合物中並緩慢地脫附。此種「封孔作用(pore-sealing)」可能有助於降低聚合物膜在金屬化前預清洗步驟期間的釋氣作用(outgassing)。
第3圖是根據另一實施例所示的基材處理方法300。方法300可與標準除氣製程或與上述除氣製程併用。同樣地,方法300可與上述預釋放電漿處理中的要件步驟結合使用。
方法300可包括如步驟302中所示般將基材配置在處於除氣溫度下的熱處理腔室中。若此實施例與上述實施例結合,則無需移動該基材。在較佳實施例中,當方法300與方法200結合時,基材可保持位在同一個腔室內。雖然不是必要條件,但較佳實施例是在完成方法200中的除氣步驟之後,併入方法300的一或多個要件步驟。
方法300可進一步包括如步驟304中所示般使惰性氣體流入該腔室。惰性氣體可為除氣製程提供不反應性的氛圍,有助於防止脫附氣體與暴露表面之間交互作用,及可進一步用來幫助去除該等脫附氣體。在此步驟中流入腔室中的惰性氣體可直接流入該腔室、藉由相連的第二裝置(例如經由遠端電漿裝置)而間接流入該腔室或可藉由上述方式之組合而流入該腔室。本發明實施例中所使用的惰性氣體可以是任何不反應且對除氣製程或進行金屬化以前之製程(pre-metallization processes)無害的氣體,例如貴重氣體,如氬氣或氦氣。
方法300可包括產生包含惰性氣體的電漿,如步驟306所示。可在該腔室中形成該電漿或可利用遠端電漿源輸送該電漿。如前述,用來產生電漿的能量來源可能是任何可取得的能量來源。該電漿可為感應耦合電漿、電容耦合電漿或微波電漿。該電漿可用於如上述般的預釋放製程且同時可製備用來進行封孔處理的表面。
方法300可進一步包括將該含有惰性氣體的電漿引導至基材的聚合物/環氧化物層,如步驟308所示。當該聚合物/環氧化物層處於有許多電漿副產物從該層中釋出的情況下,相信使用惰性氣體電漿進行處理可打開該聚合物/環氧化物層表面中的許多空間。已活化的惰性氣體填滿該等空間和早已存在的空間,並且在出現熱能的情況下(例如來自微波輻射的熱能或在除氣溫度下的環境熱能),該活化的惰性氣體會從該層中釋出。
方法300可進一步包括去除該腔室中的含氧化合物,如步驟310所示。在電漿處理期間,可能從聚合物/環氧化物層中釋出化合物,例如CxOy化合物,這些化合物將累積在處理區域中。在冷卻製程中,此等化合物可能再次吸附。本發明實施例可採用去除方法來去除腔室中的此等化合物,例如製造真空或使用惰性氣流清洗該腔室以去除腔室中的此等化合物。
若已使用預先惰性氣體處理使該聚合物/環氧化物層進行預釋放,去除該腔室內之含氧化合物的此步驟則較不重要。因此,若已先進行與該選用性的預釋放製程相關的等 效步驟,該實施例在步驟310中的部分則可能不能為本發明中所述的一或多個實施例帶來益處。
如步驟312中所示,方法300可進一步包括提高該處理腔室中的惰性氣體壓力。該惰性氣體可以是在先前步驟中用來清洗腔室的氣體。該惰性氣體可為任何前述可用之惰性氣體(例如氬氣或氦氣)中的其中一者或組合物。該壓力可升高到可使惰性氣體至少部分填入該聚合物/環氧化物層表面中所形成或早已存在之孔洞的程度。當升高惰性氣體的壓力時,該基材應保持在除氣溫度下最為適當。此步驟可利用所屬技術領域中已知的基材標準加熱法,或文中所述之微波加熱實施例,或其他方式而達成。
如步驟314所示般,方法300可包括保持該惰性氣體的壓力且同時降低基材的溫度。當該壓力達到可用於聚合物/環氧化物層的期望壓力大小時,可降低該溫度以利用新捕獲的惰性氣體來封住孔洞。相信該惰性氣體可取代在傳送過程中進入孔洞中的氣體並且不會在後續處理(例如,金屬化前預清洗製程)期間因釋出氣體而造成有害的影響。可藉著使惰性氣體流入腔室而降低溫度。藉著移除外來的熱源,可使用恆定的惰性氣體氣流來維持該壓力且同時降低基材的溫度。期望使基材的溫度降至低於該除氣溫度。此外,若該腔室的設計允許,可使用位在基材支座內或位在該腔室內的冷卻設備來降低溫度。
第4圖係根據本發明一實施例概要圖示真空多腔室沉積工具400的平面圖。真空多腔室沉積工具400包含多個 處理腔室,該等處理腔室連接至主機且該主機包含兩個傳送腔室408和433。
真空多腔室沉積工具400包括前段環境402,該前段環境402可與裝載鎖定腔室404進行選擇性連通。一或多個晶圓盒(pod)401與該前段環境402連接。該一或多個晶圓盒401是配置用來儲存基材。工廠介面機器人403設置在該前段環境402中。工廠介面機器人403是配置用於在該等晶圓盒401與裝載鎖定腔室404之間傳送基材。
裝載鎖定腔室404在前段環境402與第一傳送腔室組件410之間提供真空界面。第一傳送腔室組件410的內部區域通常保持真空狀態且提供中間區域,並可在該中間區域內將基材從一個腔室轉運至另一個腔室及/或轉運至裝載鎖定腔室。
在一實施例中,第一傳送腔室組件410分為兩部分。在本發明的一實施例中,第一傳送腔室組件410包含傳送腔室及真空延伸腔室407。傳送腔室408及真空延伸腔室407連接在一起且彼此間流體連通。在處理期間,第一傳送腔室組件410的內部體積通常保持處於低壓或真空狀態。裝載鎖定腔室404可分別藉由狹縫閥405及狹縫閥406而連接至前段環境402和真空延伸腔室407。
在一實施例中,傳送腔室408可為具有複數個側壁、一底部和一蓋的多角形結構。該複數個側壁可具有多個貫穿側壁而形成的開口並配置成與處理腔室、真空延伸腔室及/或通道腔室(pass through chamber)連接。第4圖所示的傳 送腔室408具有方形或矩形造形且與處理腔室411、處理腔室413、通道腔室431及真空延伸腔室407連接。傳送腔室408可分別經由狹縫閥416、狹縫閥418及狹縫閥417而與處理腔室411、處理腔室413及通道腔室431連接。
在一實施例中,在傳送腔室408的底部上形成有機器人端口(robot port),中心機器人409可安裝在傳送腔室408中的機器人端口處。中心機器人409設置在傳送腔室408的內部體積420中並配置成可在處理腔室411、處理腔室413、通道腔室431及裝載鎖定腔室404之間轉運基材414或基材載體441。在一實施例中,中心機器人409可包含用來托住基材的兩個刀刃,每個刀刃各自安裝在獨立控制的機械臂上且該等機械臂安裝在同一個機器人底座上。在另一實施例中,中心機器人409可具有垂直移動該等刀刃的能力。
真空延伸腔室407配置成可提供從真空系統通往第一傳送腔室組件410的界面。在一實施例中,真空延伸腔室407包含底部、蓋子及多個側壁。在真空延伸腔室407的底部上可形成壓力修改埠(pressure modification port),且該壓力修改埠係配置成可與真空幫浦系統接合。該等側壁上形成多個開孔,而使真空延伸腔室407與傳送腔室408流體連通,並使真空延伸腔室407與裝載鎖定腔室404選擇性地連通。
在一實施例中,真空延伸腔室407包含陸架(shelf,圖中未示出),該陸架係配置用於儲放一或多個基材414。與傳送腔室408直接或間接連接的處理腔室可將該等腔室的基材儲放在該陸架上並使用中心機器人409傳送該等基材。
真空多腔室沉積工具400可進一步包括第二傳送腔室組件430,第二傳送腔室組件430藉由通道腔室431而連接至第一傳送腔室組件410。在一實施例中,通道腔室431類似於裝載鎖定腔室是配置用來提供兩個處理環境之間的界面。在此例子中,通道腔室431提供位在第一傳送腔室組件410與第二傳送腔室組件430之間的真空界面。
在一實施例中,第二傳送腔室組件430分為兩個部分以使真空多腔室沉積工具400的佔地面積減至最小。在本發明的一實施例中,第二傳送腔室組件430包含傳送腔室433及真空延伸腔室432,且傳送腔室433與真空延伸腔室432彼此間流體連通。在處理期間,第二傳送腔室組件430的內部體積通常保持處於低壓或真空狀態。通道腔室431可分別藉由狹縫閥417及狹縫閥438而與傳送腔室408及真空延伸腔室432連接,使得傳送腔室408內的壓力可保持在不同的真空程度。
在一實施例中,傳送腔室433可為具有複數個側壁、一底部和一蓋的多角形結構。該複數個側壁可具有多個形成在側壁中的開口且配置成可與處理腔室、真空延伸腔室及/或通道腔室連接。第4圖所示的傳送腔室433具有方形或矩形造形且與處理腔室435、處理腔室436、處理腔室437及真空延伸腔室432連接。傳送腔室433可分別經由狹縫閥441、狹縫閥440及狹縫閥439而與處理腔室435、處理腔室436及處理腔室437選擇性地連通。
在傳送腔室433的底部上形成有機器人端口(robot port),中心機器人434可安裝在傳送腔室433中的機器人端口處。中心機器人434設置在傳送腔室433的內部體積449中並配置成可在處理腔室435、處理腔室436、處理腔室437及通道腔室431之間轉運基材414或基材載體441。在一實施例中,中心機器人434可包含用來托住基材的兩個刀刃,每個刀刃各自安裝在獨立控制的機械臂上且該等機械臂安裝在同一個機器人底座上。在另一實施例中,中心機器人434可具有垂直移動該等刀刃的能力。
在一實施例中,真空延伸腔室432配置成可提供介在真空系統與第二傳送腔室組件430之間的界面。在一實施例中,真空延伸腔室432包含底部、蓋子及多個側壁。在真空延伸腔室432的底部上可形成壓力修改埠,且該壓力修改埠係配置成可與真空幫浦系統接合。形成貫穿該等側壁的多個開孔,而使真空延伸腔室432與傳送腔室433流體連通,並使真空延伸腔室432與裝載鎖定腔室431選擇性地連通。
在本發明的一實施例中,真空延伸腔室432包含陸架(圖中未示出),該陸架類似於以上配合真空延伸腔室407所描述的陸架。與傳送腔室433直接或間接連接的處理腔室可將基材儲放在該陸架上。
基材載體(例如,載體441)可與任何處理結構併用而能夠同時處理多個基材(例如基材443)。在一實施例中,該基材載體可能是由實質剛性材料所製成的薄板,且該材料能夠承受文中所述製程所創造出的處理環境。在某些實施例中,該載體可為玻璃製或矽製的淺盤。在其他實施例中,該載體 可為陶瓷或複合材料,例如,碳複合材料。在某些實施例中,該載體可為塗佈有製程耐受性材料(process-resistant material)的淺盤,例如塗有玻璃或陶瓷的淺盤。該載體可以是半導體製程中常用之300毫米(mm)的基材。在某些實施例中,該載體可塑造成方便進行基材處理或拿取基材的形狀。例如,該載體可具有多個突起或下凹部分,以利於控制放置在該載體上的個別基材。在一實施例中,該載體上的各個基材位置可在該位置周圍形成溝槽,以允許具有抓取延伸部位的機器人可接觸到放置於該位置上之基材的邊緣。在另一實施例中,在該載體之基材位置的中心處可形成凹陷,以允許具有抓取延伸部位的機器人可接觸到具有中心開口之基材的內側邊緣。在某些實施例中,該載體上的該等基材位置可能下凹,而可在傳送該載體時防止基材在該載體上移動。
通常是在密閉的腔室中處理基材,且該腔室具有基座(pedestal)以用於支撐放置在該基座上的基材。該基座可包含基材支座(substrate support),該基材支座具有多個設置在該基座內的電極,用以在處理期間將該基材以靜電方式固定在該基材支座上。在較高腔室壓力的製程耐受性方面,該基座或可包含具有多個開口的基材支座,該等開口與真空來源連通以用於在處理期間將基材牢固地固定在該基材支座上。
可在腔室411、腔室413、腔室435、腔室436或腔室437任一者內進行的製程包括沉積製程、佈植製程及熱處理製程,等等。在一實施例中,諸如腔室411、腔室413、腔室435、腔室436或腔室437其中任一者的腔室配置成可在一 基材或同時在多個基材上進行濺射製程。在另一實施例中,腔室411為如參閱第1圖所述的除氣腔室。在進一步實施例中,腔室413是金屬化前預清洗腔室。此實施例中的金屬化前預清洗腔室使用含有惰性氣體(例如氬氣)的濺射清洗製程。在進一步實施例中,腔室435為沉積腔室。與本文中所述實施例併用的該沉積腔室可為任何已知的沉積腔室。
本文中所述實施例是關於用於基材除氣的方法和設備。相較於標準熱除氣單元而言,使用微波輻射允許使用較低溫度和得到較高產能的除氣步驟。此外,微波除氣實施例可包含在單一個腔室中進行硬化和除氣兩步驟。再者,發現到可用於金屬化的氣體包括累積性氣體(例如H2O)及所生成的氣體(例如,使用電漿處理該聚合物/環氧化物層之後所產生的氣體)兩種氣體。藉著採用預釋放步驟(pre-release stage)、封孔步驟(pore sealing stage)或兩者之組合作為基材除氣製程的一部分,可在進行金屬化前預清洗步驟之前,先去除基材中的累積氣體和生成氣體兩者。相信以上所揭示的除氣製程能在後續沉積製程中形成較高品質的金屬特徵結構。
儘管上述內容描述本發明的多個實施例,但在不偏離本發明的基本範圍下,當可做出本發明的其他和進一步實施例,且本發明範圍係由後附申請專利範圍所決定。
200‧‧‧方法
202、204、206、208、210‧‧‧步驟

Claims (19)

  1. 一種用於一基材的真空多腔室沉積設備,包括:一除氣腔室,該除氣腔室包括:一腔室主體;一基材支座,該基材支座具有一基材支撐表面;一基材加熱機構;一溫度測量裝置;及一變頻微波輻射源,該變頻微波輻射源係建構用於朝向該基材支座輸送變頻微波輻射;及一金屬化前預清洗腔室,該預清洗腔室與該除氣腔室連通,其中該除氣腔室與該金屬化前預清洗腔室流體連通。
  2. 如請求項1所述之設備,其中該變頻微波輻射源使用兩個或更多個頻率,且該兩個或更多個頻率之間的差介在200Hz至280Hz之間。
  3. 如請求項1所述之設備,其中該變頻微波輻射的該頻率每25微秒改變一次。
  4. 如請求項1所述之設備,其中該除氣腔室使將在該除氣腔室中處理的一基材硬化和除氣。
  5. 如請求項1所述之設備,其中該基材加熱機構是一紅外線燈。
  6. 如請求項1所述之設備,其中該變頻微波輻射之該頻率的範圍係5.85GHz至7.0GHz。
  7. 如請求項1所述之設備,其中該金屬化前預清洗腔室使用一包含一惰性氣體的濺射清洗製程。
  8. 如請求項1所述之設備,其中該除氣腔室進一步包括一電漿源。
  9. 如請求項1所述之設備,其中該變頻微波輻射源包括一微波功率供應器,該微波功率供應器係選自以下群組中:磁控管、速度調制電子管(klystron tube)、迴旋管(gyrotron tube)及行波管(traveling wave tube)。
  10. 一種基材除氣方法,依序包括以下步驟:將一包含一聚合物或一環氧化物的基材配置在一處理腔室中;使該腔室保持在一除氣溫度與一玻璃轉化溫度之間;使一惰性氣體流入該腔室;產生一包含該惰性氣體的電漿;使該基材暴露於一包含該惰性氣體的電漿;去除該腔室內的含氧化合物;升高該腔室中之該惰性氣體的壓力;及 保持該惰性氣體的壓力且同時冷卻該基材至比該除氣溫度低的一溫度。
  11. 如請求項10所述之方法,其中該惰性氣體是氬氣或氦氣。
  12. 如請求項10所述之方法,其中從將該基材配置在該處理腔室中起,該基材保持在介於該除氣溫度與該玻璃轉化溫度之間的一溫度,直到已從該腔室中去除含氧化合物。
  13. 如請求項10所述之方法,進一步包括在使一惰性氣體流入該腔室中之前,先輸送變頻微波輻射至該基材。
  14. 如請求項13所述之方法,其中該變頻微波輻射之該頻率的變動範圍係5.85GHz至7.0GHz。
  15. 一種基材除氣方法,依序包括以下步驟:將一包含一聚合物或一環氧化物的基材配置在一處理腔室中;引導一變頻微波輻射源藉以輸送一微波輻射至該基材;以一頻率輸送微波輻射至該基材且該頻率在多個短發射時間內會改變,同時使該基材的該溫度保持在一除氣溫度;及去除該腔室內的一第一組含氧化合物。
  16. 如請求項15所述之方法,進一步包括以下步驟:使一惰性氣體流入該腔室;產生一包含該惰性氣體的電漿;使該基材暴露於一包含該惰性氣體的電漿;去除該腔室內的一第二組含氧化合物;升高該腔室中之該惰性氣體的壓力;及保持該惰性氣體的壓力且同時冷卻該基材至比該除氣溫度低的一溫度。
  17. 如請求項15所述之方法,其中該等短發射時間為20微秒至30微秒。
  18. 如請求項17所述之方法,其中從將該基材配置在該處理腔室中起,該基材保持在介於該除氣溫度與該玻璃轉化溫度之間的一溫度,直到已從該腔室中去除該第二組含氧化合物。
  19. 一種真空多腔室沉積工具,包括:一裝載鎖定腔室;一第一傳送腔室,在該第一傳送腔室內安裝有一第一中心機器人;一第二傳送腔室,在該第二傳送腔室內安裝有一第二中心機器人;一除氣腔室,該除氣腔室包括:一腔室主體; 一基材支座,該基材支座具有一基材支撐表面;一基材加熱機構;一溫度測量裝置;及一變頻微波輻射源,該變頻微波輻射源使用選自範圍介在5.85GHz至7.0GHz之間的兩個或更多個頻率且該兩個或更多個頻率之間的差介在200Hz至280Hz之間,且該變頻微波輻射源係建構用於朝向該基材支座的表面輸送變頻的該微波輻射;一金屬化前預清洗腔室,該預清洗腔室與該除氣腔室流體連通並採用一包含一惰性氣體的濺射清洗製程;及一沉積腔室,該沉積腔室與該金屬化前預清洗腔室流體連通。
TW102128315A 2012-09-07 2013-08-07 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理 TWI615495B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261698386P 2012-09-07 2012-09-07
US61/698,386 2012-09-07

Publications (2)

Publication Number Publication Date
TW201413030A TW201413030A (zh) 2014-04-01
TWI615495B true TWI615495B (zh) 2018-02-21

Family

ID=50231741

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102128315A TWI615495B (zh) 2012-09-07 2013-08-07 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理

Country Status (6)

Country Link
US (1) US9171714B2 (zh)
KR (2) KR102132427B1 (zh)
CN (1) CN104603914B (zh)
SG (1) SG11201501144TA (zh)
TW (1) TWI615495B (zh)
WO (1) WO2014039194A1 (zh)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102132427B1 (ko) * 2012-09-07 2020-07-09 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9538586B2 (en) * 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10113984B2 (en) 2014-05-15 2018-10-30 Washington University Integrated EPR NMR with frequency agile gyrotron
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298585B (zh) * 2015-06-03 2020-10-16 北京北方华创微电子装备有限公司 腔室及半导体加工设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170365490A1 (en) * 2016-06-19 2017-12-21 Applied Materials, Inc. Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI644383B (zh) 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
CN107706127A (zh) * 2017-07-18 2018-02-16 中国科学院微电子研究所 一种混合退火装置及退火方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2019022826A1 (en) 2017-07-24 2019-01-31 Applied Materials, Inc. PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR20220107086A (ko) * 2017-08-11 2022-08-01 아퀴센스 테크놀로지스 엘엘씨 조사(照射) 장치 및 방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI756475B (zh) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 抑制粒子產生之方法及真空裝置
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019147495A1 (en) * 2018-01-29 2019-08-01 Applied Materials, Inc. Wetting layers for optical device enhancement
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10811370B2 (en) * 2018-04-24 2020-10-20 Cree, Inc. Packaged electronic circuits having moisture protection encapsulation and methods of forming same
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200206775A1 (en) * 2018-12-26 2020-07-02 Applied Materials, Inc. Methods for forming microwave tunable composited thin-film dielectric layer
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210121131A (ko) * 2019-01-30 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN114051448A (zh) * 2019-07-07 2022-02-15 应用材料公司 用于聚合材料的微波处理的方法及装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111106062A (zh) * 2019-12-31 2020-05-05 华虹半导体(无锡)有限公司 脱气工艺方法和金属硬掩膜层的制造方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN115679291A (zh) * 2021-07-28 2023-02-03 长鑫存储技术有限公司 通过沉积工艺形成薄膜的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5191182A (en) * 1990-07-11 1993-03-02 International Business Machines Corporation Tuneable apparatus for microwave processing

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5726433A (en) * 1980-07-23 1982-02-12 Hitachi Ltd Bake of photoresist or the like and apparatus therefor
US6150645A (en) * 1990-07-11 2000-11-21 International Business Machines Corporation Radiation control system
US5106787A (en) 1990-11-19 1992-04-21 Taiwan Semiconductor Manufacturing Co. Method for high vacuum controlled ramping curing furnace for SOG planarization
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6379994B1 (en) * 1995-09-25 2002-04-30 Canon Kabushiki Kaisha Method for manufacturing photovoltaic element
US5738915A (en) * 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
KR100466307B1 (ko) 1997-10-25 2005-05-19 삼성전자주식회사 반도체소자제조장치및이를이용한디가스공정,식각공정및열처리공정
DE19810260C2 (de) * 1998-03-10 2000-02-24 Degussa Verfahren zum Beschichten der Strömungskanäle eines wabenförmigen Katalysatorkörpers mit einer Dispersionsbeschichtung
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6841201B2 (en) 2001-12-21 2005-01-11 The Procter & Gamble Company Apparatus and method for treating a workpiece using plasma generated from microwave radiation
AU2003276905A1 (en) * 2003-03-18 2004-10-11 Dow Corning Corporation A conductive composition and method of using the same
CN1788323A (zh) * 2003-03-18 2006-06-14 陶氏康宁公司 导电组合物和使用该组合物的方法
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
DE102004015865B4 (de) 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US20090134152A1 (en) * 2005-10-27 2009-05-28 Sedlmayr Steven R Microwave nucleon-electron-bonding spin alignment and alteration of materials
US20070095823A1 (en) * 2005-10-27 2007-05-03 Sedlmayr Steven R Microwave nucleon-electron-bonding spin alignment and alteration of materials
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
JP5269602B2 (ja) * 2005-11-11 2013-08-21 ディーエスジー テクノロジーズ シリコンウエハを熱加工するための装置及び方法
TWI547999B (zh) * 2007-09-17 2016-09-01 Dsgi公司 微波退火半導體材料的系統及方法
DE102008026133B4 (de) 2008-05-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
KR20120090996A (ko) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US8866271B2 (en) * 2010-10-07 2014-10-21 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
JP2013069602A (ja) * 2011-09-26 2013-04-18 Tokyo Electron Ltd マイクロ波処理装置および被処理体の処理方法
KR102132427B1 (ko) * 2012-09-07 2020-07-09 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
SG11201600447YA (en) * 2013-08-21 2016-03-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5191182A (en) * 1990-07-11 1993-03-02 International Business Machines Corporation Tuneable apparatus for microwave processing

Also Published As

Publication number Publication date
KR102132427B1 (ko) 2020-07-09
US9171714B2 (en) 2015-10-27
SG11201501144TA (en) 2015-04-29
WO2014039194A1 (en) 2014-03-13
CN104603914B (zh) 2017-07-14
CN104603914A (zh) 2015-05-06
TW201413030A (zh) 2014-04-01
KR20200084923A (ko) 2020-07-13
US20140068962A1 (en) 2014-03-13
KR102296150B1 (ko) 2021-08-30
KR20150052294A (ko) 2015-05-13

Similar Documents

Publication Publication Date Title
TWI615495B (zh) 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理
CN109390214B (zh) 半导体薄膜制造中的变频微波(vfm)工艺及应用
KR101843616B1 (ko) 중합막의 내약품성 개선 방법, 중합막의 성막 방법, 성막 장치, 및 전자 제품의 제조 방법
US11666950B2 (en) Method of forming process film
US20230146981A1 (en) Hydrogen management in plasma deposited films
CN109923660B (zh) 高压退火及降低湿蚀刻速率
JP2003017479A (ja) プリ・コート方法、処理方法及びプラズマ装置
US20180076030A1 (en) SiC FILM FORMING METHOD AND SiC FILM FORMING APPARATUS
US11594409B2 (en) Systems and methods for depositing low-k dielectric films
US20230094012A1 (en) Rf pulsing assisted low-k film deposition with high mechanical strength
US20170092588A1 (en) Film forming method, semiconductor device manufacturing method, and semiconductor device
JP2009049217A (ja) 半導体デバイスの製造方法。
JP2004091825A (ja) 薄膜成長方法