CN114051448A - 用于聚合材料的微波处理的方法及装置 - Google Patents

用于聚合材料的微波处理的方法及装置 Download PDF

Info

Publication number
CN114051448A
CN114051448A CN202080048211.6A CN202080048211A CN114051448A CN 114051448 A CN114051448 A CN 114051448A CN 202080048211 A CN202080048211 A CN 202080048211A CN 114051448 A CN114051448 A CN 114051448A
Authority
CN
China
Prior art keywords
polymer
substrate
microwave energy
predetermined
frequencies
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080048211.6A
Other languages
English (en)
Inventor
高德丰
C·K·熊
欧岳生
F·邓
崔玥
陈彦竹
A·朱普迪
C·高
V·拉马钱德兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114051448A publication Critical patent/CN114051448A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/66Circuits
    • H05B6/68Circuits for monitoring or control
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/70Feed lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/70Feed lines
    • H05B6/705Feed lines using microwave tuning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B6/00Heating by electric, magnetic or electromagnetic fields
    • H05B6/64Heating using microwaves
    • H05B6/80Apparatus for specific applications
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0855Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using microwave
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2039/00Use of polymers with unsaturated aliphatic radicals and with a nitrogen or a heterocyclic ring containing nitrogen in a side chain or derivatives thereof as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2055/00Use of specific polymers obtained by polymerisation reactions only involving carbon-to-carbon unsaturated bonds, not provided for in a single one of main groups B29K2023/00 - B29K2049/00, e.g. having a vinyl group, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2061/00Use of condensation polymers of aldehydes or ketones or derivatives thereof, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2063/00Use of EP, i.e. epoxy resins or derivatives thereof, as moulding material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2079/00Use of polymers having nitrogen, with or without oxygen or carbon only, in the main chain, not provided for in groups B29K2061/00 - B29K2077/00, as moulding material
    • B29K2079/08PI, i.e. polyimides or derivatives thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2206/00Aspects relating to heating by electric, magnetic, or electromagnetic fields covered by group H05B6/00
    • H05B2206/04Heating using microwaves
    • H05B2206/046Microwave drying of wood, ink, food, ceramic, sintering of ceramic, clothes, hair

Landscapes

  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Oral & Maxillofacial Surgery (AREA)
  • Thermal Sciences (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Constitution Of High-Frequency Heating (AREA)

Abstract

本文中提供了用于使用可变微波频率来固化基板或聚合物的方法及装置。在一些实施例中,一种使用可变微波频率来固化基板或聚合物的方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。

Description

用于聚合材料的微波处理的方法及装置
技术领域
本公开内容的实施例总体上涉及用于使用微波能量进行材料处理的装置及方法。更详细而言,本公开内容涉及使用微波能量来固化基板(诸如聚合物)。
背景技术
在各种生产阶段期间将各种导电及不导电的聚合材料层涂敷到半导体晶片。例如,有机材料(举例而言,诸如聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB)等等)或无机材料(举例而言,诸如硅、氧化硅、氧化物、氮氧化物、氮化物、或碳化物等等)常常用在半导体制造中以供形成互连结构的介电层(例如封装的重新分布层(RDL)工艺或产线后段(BEOL))。产线后段(BEOL)是IC制造的第二部分,其中将个别的元件与基板上的布线互连。
一般而言,诸如所形成的聚合物之类的包括介电层/膜的基板具有固定的电性质、热机械性质、和化学性质。此外,在使用常规的加热技术时,诸如上述聚合物之类的基板一般需要较长的时间和较高的温度来固化,从而导致产出量问题以及在基板上产生缺陷。例如,在使用常规的加热技术来固化聚酰亚胺时,聚合物的外表面一般比中心部分固化得更快,从而造成各种物理缺陷(诸如空隙的形成)且可造成低劣的机械性质(诸如减少的模量、增强的溶胀、溶剂吸收、及热膨胀系数)。此外,由于封装RDL工艺期间存在的材料的热膨胀的差异,常规的固化技术中使用的较高的温度产生大量翘曲。
因此,发明人已经开发了改进的形成诸如聚合物之类的基板的方法,所述基板可以较快地固化且在较低的温度下固化。
发明内容
本文中提供了使用可变微波频率来固化基板或聚合物的方法。在一些实施例中,一种使用可变微波频率来固化基板或聚合物的方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。
在一些实施例中,一种基板处理系统包括:可变频率微波腔室,被配置为用于使聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波频率接触以固化所述聚合物。
在一些实施例中,一种计算机可读取介质具有存储于其上的指令,所述指令在被执行时使得可变频率微波工艺腔室执行如本文中所公开的实施例中任一项中所述的方法。在一些实施例中,所述方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。
本公开内容的其他及另外的实施例被描述于下文中。
附图说明
可以通过参照描绘于附图中的本公开内容的说明性实施例来了解本公开内容的实施例,所述实施例在上文被简要概述且于下文被更详细地论述。然而,附图仅示出本公开内容的典型实施例且因此不应被视为范围的限制,因为本公开内容可允许其他同等有效实施例。
图1描绘根据本公开内容的一些实施例的固化方法的流程图。
图2描绘根据本公开内容的一些实施例用于微波固化工艺的工艺腔室的示意侧视图。
图3描绘根据本公开内容的一些实施例的固化基板或聚合物的方法的流程图。
图4描绘根据本公开内容的一些实施例的处理工具的俯视平面图,所述处理工具包括图2的装置。
为了促进了解,已尽可能使用相同的参考标号来标志附图共有的相同元件。附图并不是按比例绘制的,且可以为了明确起见而简化附图。可以在不另外详述的情况下有益地将一个实施例的元件及特征并入其他实施例。
具体实施方式
本文中提供了包括使用可变的微波频率来固化基板或聚合物(诸如基板上的聚合物层)的装置及方法的本公开内容的实施例。例如,本公开内容的方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化基板或聚合物。本公开内容的实施例有利地允许制造期间弹性的半导体材料形成工艺,其使用可变频率微波(VFM)技术来:(1)在较低的温度下固化材料(例如基板、聚合物、或聚合物层),因此减少热膨胀的差异,这造成封装RDL工艺中较低的翘曲,和/或(2)为了更佳的电(例如较低的寄生电容、较高的击穿电压)性质和热机械(例如较高的玻璃转变温度或较高的伸张度,其展现较强劲的机械应力、良好的导热率等等)性质而修改基板、聚合物、或聚合物层。
图1是根据本公开内容的一些实施例的固化材料(诸如基板、聚合物、或半导体基板上的聚合物层)的方法100的流程图。将半导体基板或聚合物(诸如设置在基板上的聚合物层)放置到合适的微波处理腔室(诸如下文针对图2所论述的微波处理腔室)中。
在一些实施例中,用于如本文中所述地固化的合适基板包括诸如以下项之类的材料:结晶硅(例如Si<100>或Si<111>)、硅锗、掺杂的或未掺杂的多晶硅、掺杂的或未掺杂的硅晶片、图案化或未图案化的晶片、绝缘体上硅结构(SOI)、掺碳的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石、及上述项的组合。在一些实施例中,无机基板适于根据本公开内容固化。非限制性示例无机基板包括以下项中的一者或多者:由硅、氧化硅、氧化物、氮氧化物、氮化物、或碳化物中的一者所形成的无机介电材料。
在实施例中,基板可以具有各种尺度,诸如200mm、300mm、450mm、或用于圆形基板的其他直径。基板也可以是任何多边形、方形、矩形、弯曲的或其他非圆形的工件,诸如用于制造平坦的平板显示器的多边形的玻璃基板。除非另有指出,否则本文中所述的实施方式及示例在诸如具有200mm的直径、300mm的直径的基板、或者450mm的直径的基板之类的基板上进行。
在一些实施例中,本文中用于固化的基板包括单独的一个或多个低k介电层,或包括通过任何合适的原子层沉积工艺或化学气相沉积工艺沉积在基板的顶部上达所期望的厚度的一个或多个低k介电层。在实施例中,低k介电层一般由具有适用于绝缘材料的低k值的材料所形成。适合用作低k介电材料的非限制性材料可以包括含硅材料,举例而言,诸如氧化硅(SiO2)、氮化硅、或氮氧化硅(SiON)、或上述项的组合。在一些实施例中,低k介电材料可以具有小于约3.9(诸如约2.5到约3.5)的低k值。在实施例中,低k介电层包括包含以下项中的一者或多者的材料:聚酰亚胺、聚四氟乙烯、聚对二甲苯、聚倍半硅氧烷、氟化聚(芳基醚)、氟化非晶碳、碳氧化硅、及碳化硅。在一些实施例中,诸如低k介电层之类的基板包括碳氧化硅,其包括例如包括各种含硅、碳、氧、和氢的材料的碳氧化硅。
在一些实施例中,聚合物或聚合物层适于根据本公开内容固化。聚合物或聚合物层的非限制性示例包括以下项中的一者或多者:由聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB)中的一者所形成的有机介电材料。
在一些实施例中,在诸如1ATM之类的大气下或在真空(例如约50到约1e-6托,或更低)下执行方法100。发明人已经观察到,在一些实施例中,在大气中固化聚合物允许将更多的具有选定的有效频率的微波功率递送到工艺腔室及聚合物或聚合物层中。然而,在一些实施例中,在真空下执行方法100有助于驱除溶剂、添加剂、及在固化工艺期间形成的反应副产物。常规的非微波固化在约1大气压(或最低为亚大气压)下进行,且因此使用高温来驱除溶剂、添加剂、或反应副产物。
在一些实施例中,方法100开始于102处,在102处,需要固化的基板(诸如基板上的聚合物或聚合物层)由例如为上述材料之类的材料所形成。在一些实施例中,沉积约1.0微米到约1000微米厚的基板、聚合物、或聚合物层。在一些实施例中,聚合物或聚合物层可以是介电材料,例如基于有机物的介电材料。例如,聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB)中的一者或多者。在一些实施例中,所形成的基板可以是由氧化物、氧化硅、硅、氮氧化物、氮化物、或碳化物等等中的一者所形成的无机介电材料。
在一些实施例中,基板、聚合物、或聚合物层可进一步包括被包括在基板、聚合物、或聚合物层中、或用其他方式添加到有机介电材料或无机介电材料的至少一种微波可调材料,诸如为以下项的材料:(a)高极性添加剂,其用于加速固化工艺和减少固化温度;(b)微波响应添加剂,其具有某些所期望的性质(电性质、机械及热性质、化学性质等等);和/或(c)非极性材料,其具有某些所期望的性质。极性添加剂的非限制性示例可以包括水、乙醇、甲醇、异丙醇(IPA)、乙酸、丙酮、正丙醇、正丁醇、甲酸、丙烯、碳酸盐、乙酸乙酯、二甲基亚砜、乙腈(MECN)、二甲基甲酰胺、四氢呋喃、和/或二氯甲烷。在一些实施例中,非极性添加剂可以包括戊烷、环戊烷、己烷、环己烷、苯、甲苯、二恶烷、氯仿、和/或二乙醚。与非极性添加剂相比,极性添加剂具有明显较高的介电常数和偶极矩。与水分子类似,在存在微波能量的情况下,这些极性分子将被设定成旋转移动(可能是在可用的空间中)。在这些溶剂的蒸气可以沉积的任何地方,即使深入到多孔介电膜的孔中,微波能量也有能力搅动这些分子且激起反应。在实施例中,工艺条件保持低于溶剂或试剂的沸点以在进入较高的工艺温度之前允许孔内的一些额外的旋转移动。
可以从以选择适于根据本公开内容进行固化的微波频率的电磁频谱内的频率范围是从300GHz到300MHz的范围,或在一些实施例中是在1GHz到100GHz的范围中。在一些实施例中,要根据本公开内容来处理的基板、聚合物、或聚合物层暴露于微波能量,所述微波能量包括适于固化基板、聚合物、或聚合物层的两个或更多个带宽或频率范围,所述基板、聚合物、或聚合物层显示出对所述两个或更多个带宽增加的反应性或吸收。可以为了固化预先选定带宽及其中特定的频宽。在104处,作出确定以识别用来固化聚合物层的多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。在实施例中,调查诸如基板、聚合物、或聚合物层之类的材料的吸收带以确定哪些微波能量带宽或微波能量频率将促进高效的固化,且排除低效地吸收或无法吸收到感兴趣的基板、聚合物、或聚合物层中的微波能量带宽或微波能量频率。在一些实施例中,用确定材料的微波吸收性质的领域中已知的方法(诸如Materials期刊第9卷第825号(2016年)由Rubrice等人所著的“DielectricCharacteristics and Microwave Absorption of Graphene Composite Materials(石墨烯复合材料的介电特性和微波吸收)”中所描述的方法)来估算基板、聚合物、或聚合物层的吸收带。在实施例中,测量基板、聚合物、或聚合物层中的微波反应及吸收提供了用于确定或预先确定适于固化聚合物层的多个不连续的微波能量带宽的细节。在实施例中,测量基板、聚合物、或聚合物层中的微波反应及吸收提供了用来确定或预先确定适于固化聚合物层的多个不连续的微波能量频率的细节。根据本公开内容,两个或更多个或多个不连续的微波能量带宽指的是在带宽之间具有一个或多个间隙的带宽。例如,不连续的微波能量带宽可具有低频率范围下的第一带宽及第二频率范围下的第二带宽,其中第一带宽及第二带宽不重叠且不共用频率范围限值。不连续的微波能量带宽的非限制性示例包括5.25GHz到约5.85GHz处的第一带宽及5.95GHz及6.22GHz处的第二带宽,或在实施例中包括5.25GHz到约5.85GHz处的第一带宽、5.95GHz到6.22GHz处的第二带宽、及6.4GHz到6.88GHz处的第三带宽。在这些示例中的每一者中,在根据本公开内容的固化期间不提供所记载的带宽或频率范围之间的频率下的微波能量。在一些实施例中,多个预定的不连续的微波能量带宽包括2到20个预定的不连续的微波能量带宽。
根据本公开内容,两个或更多个或多个不连续的微波能量频率指的是在频率之间具有一个或多个间隙的频率。例如,不连续的微波能量频率可以具有与第二频率相比在低频率下的第一频率,其中第一频率及第二频率在电磁频谱上不重叠且不彼此相邻。不连续的微波能量频率的非限制性示例包括5.25GHz下的第一频率及5.95GHz下的第二频率,或在实施例中包括5.27GHz下的第一频率、5.97GHz下的第二频率、及6.4GHz下的第三频率。在这些示例中的每一者中,在根据本公开内容的固化期间不提供所记载的频率之间的频率下的微波能量。在一些实施例中,多个预定的不连续的微波能量频率包括2到20个预定的不连续的微波能量频率。
基于材料吸收性质,本领域中的技术人员可以将吸收带与宽频率范围微波供应相关联,且确定或选定适合根据本公开内容使用的入射的不连续的微波能量频率和/或不连续的微波能量带宽。例如,在106处,工艺序列包括:选定适于根据本公开内容进行固化的多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。在实施例中,选定的不连续的微波能量带宽或频率包括被高度吸收的带宽或频率,且排除不被所关心的基板或聚合物良好吸收的带宽或频率。
在108处,基板、聚合物、或聚合物层与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化基板、聚合物、或聚合物层。在一些实施例中,基板、聚合物、或聚合物层与多个预定的不连续的微波能量带宽接触,所述多个预定的不连续的微波能量带宽包括2到20个预定的不连续的微波能量带宽。在一些实施例中,基板、聚合物、或聚合物层与多个预定的不连续的微波能量频率接触,所述多个预定的不连续的微波能量频率包括2到20个预定的不连续的微波能量频率。在一些实施例中,使基板、聚合物、或聚合物层与所述多个预定的不连续的微波能量带宽接触以固化聚合物层进一步包括:以预定的顺序在所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率之间跳动。例如,可以通过以下步骤来执行固化:以预定的顺序在2到20个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率之间跳动,并且在所述预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率之间的间隙中不提供微波能量。
在一些实施例中,在低于200摄氏度(诸如100摄氏度与200摄氏度之间)的温度下固化基板、聚合物、或聚合物层。在一些实施例中,在1到180分钟(诸如1到60分钟)内固化基板、聚合物、或聚合物层。在实施例中,与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触将加热基板(例如半导体基板)、聚合物、或聚合物层以将基板、聚合物、或聚合物层加热到第一温度。在一些实施例中,将基板、聚合物、或聚合物层从约室温(例如约25摄氏度)加热到约100到约200摄氏度的第一温度(即热炼温度)。在一些实施例中,加热基板、聚合物、或聚合物层以移除聚合物层中的任何残余溶剂。在一些实施例中,用每秒约0.01摄氏度到约4摄氏度(例如每秒约2摄氏度)的第一速率将基板、聚合物、或聚合物层从室温加热到第一温度。在一些实施例中,将基板、聚合物、或聚合物层维持在第一温度下达足以移除任何残余溶剂的第一时间段。在一些实施例中,第一时间段为约1分钟到约180分钟,诸如1到60分钟。此外,在一些实施例中,将基板、聚合物、或聚合物层维持在第一温度下达第一时间段,所述第一时间段被选定为调整或控制基板、聚合物、或聚合物层的材料性质。
在一些实施例中,由向基板、聚合物、或聚合物层施用为多个预定的不连续的微波能量带宽或施用为多个预定的不连续的微波能量频率的微波能量的量来控制基板、聚合物、或聚合物层的温度。在实施例中,多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率的预先选定高效地向聚合物、聚合物层、和/或半导体基板施加微波能量。
在一些实施例中,使基板、聚合物、或聚合物层经受从具有范围从约300GHz到300MHz的微波频率的来源所预先选定的微波能量。例如,在范围从300GHz到300MHz的微波频率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。在一些实施例中,使基板、聚合物、或聚合物层经受微波能量,其中所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率来自具有范围从约5.85GHz到约6.65GHz的微波频率的宽C频带源。在一些实施例中,扫掠速率为跨C频带中的4096个频率每频率约25.0微秒到每频率1000微秒。
在一些实施例中,在109处,可以可选地进一步通过调整不同的调整旋钮来调整基板、聚合物、或聚合物层的材料性质。可以出于调整的目的而调整的示例旋钮/控制件可以包括控制以下腔室处理参数的控制件:频率、功率、温度、压力、波导器配置、腔室配置、用来调整腔室中的微波分布的辅助硬件等等。在一些实施例中,可以调整可变微波频率或其他的腔室处理参数以选择性地加热基板的某些元件(即特定的层、或形成于基板或聚合物层上的特定结构等等)或工艺腔室本身。在一些实施例中,如本文中所述的可变频率微波适于活化化学官能团、或基板或聚合物中预先选定的化学官能团或纳米颗粒。在一些实施例中,如本文中所述的可变频率微波适于活化化学官能团、或环氧树脂中预先选定的化学官能团或纳米颗粒。在实施例中,微波可以包括用预定的不连续模式来改变微波能量的带宽或频率的旋钮。
在110处,若要形成额外的聚合物层,则方法返回102且再次重复,直到所有层都形成且被调整到所期望的性质以形成半导体结构为止。在110处,若没有要形成额外的聚合物层,则方法在112处结束。
方法100有利地产生具有固化的基板、聚合物、或聚合物层的半导体结构,且可以具有可以调整的电材料性质(介电常数、损耗因数、损耗正切、击穿电压等等)、可以调整的机械材料性质(例如伸张度、模量、抗张强度等等)、可以调整的热材料性质(CTE、导热率、5%重量损耗、热稳定性等等)、及可以调整的化学材料性质(对各种化学物质的抗性)。
在一些实施例中,可以使用上述方法来使用如本文中所述的可变微波频率在基板上形成多个聚合物层,其中所述多个聚合物层中的每一者均固化且可以包括至少一个基础介电材料和至少一个微波可调材料,且其中向所述多个聚合物层中的每一者施加不同的可变频率微波能量,使得所述多个聚合物层中的每一者都被调整为展现与相邻的层不同的材料性质。
图2描绘用于执行上述方法100的合适微波处理腔室200。例如,可以将微波处理腔室200配置为用于使基板、聚合物、或聚合物层与足以固化基板、聚合物、或聚合物层的多个不连续的微波能量带宽或多个不连续的微波能量频率接触。在一些实施例中,微波处理腔室200包括圆柱形的主体,或在一些实施例中包括八角形的主体,诸如主体202。在一些实施例中,主体202具有足以用作微波腔室的厚度。在一些实施例中,主体202包括圆柱形或八角形的空腔,诸如具有第一容积206的空腔204。可以在固化操作期间将一个或多个基板210、聚合物、或聚合物层(例如具有待微波固化的材料的半导体晶片或其他基板)设置在空腔204内。主体202的顶部218具有盖220以密封第一容积206。在一些实施例中,顶部218不包括盖,且可以向用来隔离微波能量的金属网提供门。在一些实施例中,波导器209可以从盖220或底部进入腔室。在一些实施例中,可以包括衬垫211以环绕第一容积206。在实施例中,衬垫是圆柱形或八角形的,且被配置为衰减或调变第一容积206中的微波能量。在实施例中,衬垫211被配置用于增加基板210、聚合物、或聚合物层的热条件。
在一些实施例中,主体202适于接收包括足以根据本公开内容固化基板或聚合物的多个不连续的微波能量带宽或多个不连续的微波能量频率的可变频率微波能量。主体202进一步包括流体耦接到第一容积206的多个开口208或顶部开口207。在实施例中,所述多个开口208或顶部开口207可以具有不同的孔洞尺寸以变更气体流量,且可以延伸通过盖和/或主体202。在一些实施例中,多个开口208促进向第一容积206递送微波能量。所述多个开口208耦接到合适的可变频率微波源238,诸如被配置为提供足以根据本公开内容固化基板、聚合物、或聚合物层的多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率的微波源。在一些实施例中,每个开口208均可以是矩形的。在一些实施例中,每个开口208均可以包括成角度的侧壁,所述成角度的侧壁放大开口的面向第一容积206的侧面上的开口。在一些实施例中,开口208沿着主体202交错或隔开。在一些实施例中,主体202包括四个开口208,其中四个开口208中的两者彼此相对地沿着主体202设置,而另两个开口208彼此相对地沿着主体202设置但不与前两个开口208相对。在一些实施例中,每个开口208均是沿着主体202的单个开口。在一些实施例中,每个开口208均包括沿着主体202的多个开口。
在一些实施例中,主体202包括流体耦接到第一容积206的一个或多个端口212。一个或多个温度传感器214、216设置在端口212内以测量第一容积206内的所述一个或多个半导体基板的温度。温度传感器214、216耦接到PID控制器236,PID控制器236耦接到可变频率微波源238以控制向微波处理腔室200供应的微波功率的量。在实施例中,可以用IR传感器、热电偶、或光纤通过附接到晶片支撑件或工艺腔室中的其他元件来实现温度控制。在一些实施例中,排气端口(未示出)可以耦接到主体202且流体耦接到第一容积206以在第一容积206内产生适于执行方法100的真空。
在一些实施例中,微波处理腔室200进一步包括具有下部腔室224的基板传输装置222。下部腔室224设置在主体202下方且耦接到主体202。下部腔室224包括容纳一个或多个基板210(例如半导体基板、聚合物、或聚合物层)的第二容积226。第二容积226流体耦接到第一容积206。在一些实施例中,所述一个或多个基板210(例如聚合物或聚合物层)呈堆叠配置彼此平行地对准。
提供升降机构228以将一个或多个基板210从下部腔室224升举到空腔204的第一容积206中。升降机构228可以是任何合适的升降机构,诸如致动器、电机等等。在一些实施例中,升降机构228耦接到基板支撑件230,基板支撑件230可以设置在下部腔室224中或移动到空腔204的第一容积206中。
一旦所述一个或多个基板210升起到空腔204的第一容积206中,耦接到基板支撑件230的下板232就密封下部腔室224的第二容积226免受空腔204的第一容积206影响,以防止微波散逸及在第一容积206中维持预定的压力。下板232抵靠或配合配接器234,使得在下板232与配接器234之间不存在间隙或存在最小的间隙,因此密封第一容积206。配接器234耦接到下部腔室224的内表面。
图3描绘根据本公开内容的一些实施例的固化基板、聚合物、或聚合物层的方法的流程图。在一些实施例中,使用可变微波频率来固化基板、聚合物、或基板上的聚合物层的方法300可以可选地包括:在基板上形成聚合物层。在实施例中,方法300开始于302处,其中,使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化基板或聚合物。在一些实施例中,在低于500摄氏度或低于200摄氏度(诸如50与200摄氏度之间)的温度下固化基板或聚合物(诸如聚合物层)。在一些实施例中,在1到60分钟内固化基板或聚合物(诸如聚合物层)。在一些实施例中,所述多个预定的不连续的微波能量带宽包括2到20个或5到10个预定的不连续的微波能量带宽。在一些实施例中,所述多个预定的不连续的微波能量频率包括2到20个或5到10个预定的不连续的微波能量频率。在一些实施例中,使基板或聚合物(诸如聚合物层)与所述多个预定的不连续的微波能量带宽接触以固化基板或聚合物进一步包括:以预定的顺序在多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使聚合物层与多个预定的不连续的微波能量频率接触以固化聚合物层进一步包括:以预定的顺序在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,使基板或聚合物层与所述多个预定的不连续的微波能量带宽接触以固化聚合物层进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使聚合物层与多个预定的不连续的微波能量频率接触以固化聚合物层进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,通过调整一个或多个调整旋钮来调整聚合物层的至少一个材料性质。在实施例中,配置为执行本公开内容的方法的微波包括调整旋钮,所述调整旋钮被配置为调整频率、功率、温度、压力、波导器配置、腔室配置、或腔室内微波分布中的至少一者。在一些实施例中,在范围从300GHz到300MHz的微波频率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。在一些实施例中,在约100摄氏度到约200摄氏度下执行使聚合物层与所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率接触以固化聚合物层。在一些实施例中,在每频率约25.0微秒到每频率1000微秒的扫掠速率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。在一些实施例中,在真空下的微波处理腔室内执行固化。在一些实施例中,聚合物层是有机介电材料或无机介电材料中的一者,所述有机介电材料由以下项中的一者所形成:聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB),所述无机介电材料由以下项中的一者所形成:氧化物、氮氧化物、氮化物、或碳化物。
在一些实施例中,方法进一步包括:确定用来固化聚合物层的多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。在一些实施例中,方法进一步包括:选定多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。
图4是示例性集成系统400的示意俯视平面图,集成系统400包括配置为根据如图2中所示的本公开内容使用的沉积处理腔室101和/或微波处理腔室200中的一者或多者。在一些实施例中,集成系统400可以是可从位于加州圣克拉拉市的应用材料有限公司购得的
Figure BDA0003446310390000121
集成处理系统。可以将其他的处理系统(包括来自其他制造商的处理系统)调适为受益于本公开内容。
在一些实施例中,集成系统400包括真空气密处理平台(诸如处理平台404)、工厂界面402、及系统控制器444。处理平台404包括至少一个沉积处理腔室101、至少一个微波处理腔室200(例如根据图2描绘的微波处理腔室200)、及可选的多个处理腔室428、420、410及至少一个装载锁定腔室422,至少一个装载锁定腔室耦接到真空基板传输腔室(诸如传输腔室436)。图4中示出了两个装载锁定腔室422。工厂界面402通过装载锁定腔室422耦接至传输腔室436。
在一个实施例中,工厂界面402包括至少一个对接站408及至少一个工厂界面机器人414以促进基板的传输。对接站408被配置为接受一个或多个前开式标准舱(FOUP)。图4的实施例中示出了两个FOUP 406A-B。具有设置在工厂界面机器人414的一端上的叶片416的工厂界面机器人414被配置为从工厂界面402向处理平台404传输基板以供通过装载锁定腔室422进行处理。可选地,一个或多个处理腔室410、420、428、沉积处理腔室101、微波处理腔室200可以连接到工厂界面402的端子426以促进处理来自FOUP406A-B的基板。
装载锁定腔室422中的每一者均具有耦接到工厂界面402的第一端口及耦接到传输腔室436的第二端口。装载锁定腔室422被耦接到压力控制系统(未示出),所述压力控制系统将装载锁定腔室422抽空及通气以促进在传输腔室436的真空环境与工厂界面402的实质周围(例如大气)环境之间传输基板。
传输腔室436具有设置在其中的真空机器人430。真空机器人430具有叶片434,所述叶片能够在装载锁定腔室422、沉积处理腔室101、微波处理腔室200、及处理腔室410、420、和428之间传输基板401。
在集成系统400的一些实施例中,集成系统400可以包括沉积处理腔室101、以及其他的处理腔室410、420、428、微波处理腔室200。在一些实施例中,处理腔室410、420、428可以是沉积腔室、蚀刻腔室、热处理腔室、或其他类似类型的半导体处理腔室。
系统控制器444被耦接到集成系统400。系统控制器444(它可以包括计算设备441或被包括在计算设备441内)使用集成系统400的处理腔室410、420、428、沉积处理腔室101、微波处理腔室200的直接控制来控制集成系统400的操作。或者,系统控制器444可以控制与处理腔室410、420、428、沉积处理腔室101、微波处理腔室200、及集成系统400相关联的计算机(或控制器)。操作时,系统控制器444也允许来自相应腔室及处理腔室(诸如沉积处理腔室101和/或微波处理腔室200)的数据收集及反馈以最佳化集成系统400的性能。
系统控制器444一般包括中央处理单元(CPU)438、存储器440、及支持电路442。CPU438可以是可以用在工业环境中的任何形式的通用计算机处理器中的一者。支持电路442常规上被耦接到CPU 438,且可以包括高速缓存、时钟电路、输入/输出子系统、电源等等。软件例程将CPU 438转换成专用计算机(系统控制器)444。也可以由定位在集成系统400远程的第二控制器(未示出)存储和/或执行软件例程。
在一些实施例中,本公开内容包括一种集成系统,所述集成系统包括:真空基板传输腔室;可变频率微波腔室,被配置为用于使聚合物与多个预定的不连续的微波能量带宽或不连续的微波频率接触以固化所述聚合物,且耦接到所述真空基板传输腔室;以及额外腔室,耦接到所述真空基板传输腔室,其中所述集成系统被配置为在真空下将所述聚合物从所述可变频率微波腔室移动到所述额外腔室。在一些实施例中,所述额外腔室是配置为沉积聚合物或聚合物层的沉积腔室。
在一些实施例中,本公开内容包括一种计算机可读取介质,所述计算机可读取介质具有存储于其上的指令,所述指令在被执行时使得可变频率微波工艺腔室执行方法,所述方法包括:在基板上形成聚合物层;以及使所述聚合物层与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述聚合物层。
在一些实施例中,本公开内容包括一种可变频率微波工艺腔室,所述可变频率微波工艺腔室被配置为:在基板上形成聚合物层;以及使所述聚合物层与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述聚合物层。
在一些实施例中,本公开内容涉及一种使用可变微波频率来固化基板、聚合物、或基板上的聚合物层的方法,所述方法包括:使基板、聚合物、或聚合物层与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触(诸如向所述基板、所述聚合物、或所述聚合物层递送微波能量)以固化所述聚合物层。在一些实施例中,在低于200摄氏度的温度下固化所述基板、所述聚合物、或所述聚合物层。在一些实施例中,在1到60分钟内固化所述基板、所述聚合物、或所述聚合物层。在一些实施例中,所述多个预定的不连续的微波能量带宽包括2到20个预定的不连续的微波能量带宽。在一些实施例中,所述多个预定的不连续的微波能量频率包括2到20个预定的不连续的微波能量频率。在一些实施例中,使所述基板、所述聚合物、或所述聚合物层与所述多个预定的不连续的微波能量带宽接触以固化所述聚合物层进一步包括:以预定的顺序在所述多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使所述基板、所述聚合物、或所述聚合物层与所述多个预定的不连续的微波能量频率接触以固化所述聚合物层进一步包括:以预定的顺序在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,使所述基板、所述聚合物、或所述聚合物层与所述多个预定的不连续的微波能量带宽接触以固化所述聚合物层进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使所述基板、所述聚合物、或所述聚合物层与多个预定的不连续的微波能量频率接触以固化所述聚合物层进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,通过调整一个或多个调整旋钮来调整所述基板、所述聚合物、或所述聚合物层的至少一个材料性质。在一些实施例中,在约100摄氏度到约500摄氏度下执行使所述基板、所述聚合物、或所述聚合物层与所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率接触以固化所述聚合物层。在一些实施例中,接触基板、聚合物、或聚合物层包括:向真空下的微波处理腔室内的所述基板、所述聚合物、或所述聚合物层递送微波能量。在一些实施例中,所述基板、所述聚合物、或所述聚合物层是有机介电材料或无机介电材料中的一者,所述有机介电材料由以下项中的一者所形成:聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB),所述无机介电材料由以下项中的一者所形成:氧化物、氮氧化物、氮化物、或碳化物。
在一些实施例中,一种使用可变微波频率来固化基板或聚合物的方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。在一些实施例中,在低于200摄氏度的温度下固化所述基板或所述聚合物。在一些实施例中,在1到180分钟内固化所述基板或所述聚合物。在一些实施例中,所述多个预定的不连续的微波能量带宽包括2到20个预定的不连续的微波能量带宽。在一些实施例中,所述多个预定的不连续的微波能量频率包括2到20个预定的不连续的微波能量频率。在一些实施例中,使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽接触以固化所述基板或所述聚合物进一步包括:以预定的顺序在所述多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使所述基板或所述聚合物与所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物进一步包括:以预定的顺序在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽接触以固化所述基板或所述聚合物进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量带宽之间跳动。在一些实施例中,使所述基板或所述聚合物与所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物进一步包括:以预定的顺序及预定的持续时间在所述多个预定的不连续的微波能量频率之间跳动。在一些实施例中,通过调整一个或多个调整旋钮来调整所述基板或所述聚合物的至少一个材料性质,所述一个或多个调整旋钮被配置为调整以下项中的至少一者:频率、功率、温度、压力、波导器配置、腔室配置、或腔室内微波分布。在一些实施例中,在范围从300GHz到300MHz的微波频率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。在一些实施例中,在约100摄氏度到约500摄氏度下执行使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。在一些实施例中,在每频率约25.0微秒到每频率1000微秒的扫掠速率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。在一些实施例中,接触基板或聚合物包括:向真空下的微波处理腔室内的所述基板或所述聚合物递送微波能量。在一些实施例中,所述基板或所述聚合物是有机介电材料或无机介电材料中的一者,所述有机介电材料由以下项中的一者所形成:聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB),所述无机介电材料由以下项中的一者所形成:氧化物、氮氧化物、氮化物、或碳化物。在一些实施例中,所述聚合物是聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂或苯并环丁烯(BCB)。
在一些实施例中,本公开内容涉及一种基板处理系统,所述基板处理系统包括:可变频率微波腔室,被配置为用于使聚合物与多个预定的不连续的微波能量带宽或不连续的微波频率接触以固化所述聚合物。在一些实施例中,所述基板处理系统进一步包括:真空基板传输腔室,其中所述可变频率微波腔室耦接到所述真空基板传输腔室;以及额外腔室,耦接到所述真空基板传输腔室,其中所述基板处理系统被配置为在真空下将所述聚合物从所述可变频率微波腔室移动到所述额外腔室。
在一些实施例中,本公开内容涉及一种计算机可读取介质,所述计算机可读取介质具有存储于其上的指令,所述指令在被执行时使得可变频率微波工艺腔室执行方法,所述方法包括:使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。
虽然上文针对本公开内容的实施例,但也可设计本公开内容的其他及另外的实施例而不脱离本公开内容的基本范围。

Claims (20)

1.一种使用可变微波频率来固化基板或聚合物的方法,所述方法包括:
使基板或聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。
2.如权利要求1所述的方法,其中在低于200摄氏度的温度下固化所述基板或所述聚合物。
3.如权利要求1所述的方法,其中在1到180分钟内固化所述基板或所述聚合物。
4.如权利要求1所述的方法,其中所述多个预定的不连续的微波能量带宽包括2到20个预定的不连续的微波能量带宽,或其中所述多个预定的不连续的微波能量频率包括2到20个预定的不连续的微波能量频率。
5.如权利要求1所述的方法,其中使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽接触以固化所述基板或所述聚合物进一步包括:以预定的顺序在所述多个预定的不连续的微波能量带宽之间跳动。
6.如权利要求1所述的方法,其中使所述基板或所述聚合物与所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物进一步包括:以预定的顺序在所述多个预定的不连续的微波能量频率之间跳动。
7.如权利要求1所述的方法,其中使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽接触以固化所述基板或所述聚合物进一步包括:以预定的顺序和预定的持续时间在所述多个预定的不连续的微波能量带宽之间跳动。
8.如权利要求1所述的方法,其中使所述基板或所述聚合物与所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物进一步包括:以预定的顺序和预定的持续时间在所述多个预定的不连续的微波能量频率之间跳动。
9.如权利要求1所述的方法,其中通过调整一个或多个调整旋钮来调整所述基板或所述聚合物的至少一个材料性质,所述一个或多个调整旋钮被配置为调整以下项中的至少一者:频率、功率、温度、压力、波导器配置、腔室配置、或腔室内微波分布。
10.如权利要求1到9中任一项所述的方法,其中在范围从300GHz到300MHz的微波频率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。
11.如权利要求1到9中任一项所述的方法,其中在约100摄氏度到约500摄氏度下执行使所述基板或所述聚合物与所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率接触以固化所述基板或所述聚合物。
12.如权利要求1到9中任一项所述的方法,其中在每频率约25.0微秒到每频率1000微秒的扫掠速率下提供所述多个预定的不连续的微波能量带宽或所述多个预定的不连续的微波能量频率。
13.如权利要求1到9中任一项所述的方法,其中接触基板或聚合物包括:向真空下的微波处理腔室内的所述基板或所述聚合物递送微波能量。
14.如权利要求1到9中任一项所述的方法,其中所述基板或所述聚合物是有机介电材料或无机介电材料中的一者,所述有机介电材料由以下项中的一者所形成:聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB),所述无机介电材料由以下项中的一者所形成:氧化物、氮氧化物、氮化物、或碳化物。
15.如权利要求1到9中任一项所述的方法,其中所述聚合物是聚酰亚胺(PI)、聚(对亚苯基苯并二恶唑)(PBO)、酚醛树脂、环氧树脂、或苯并环丁烯(BCB)。
16.如权利要求1到9中任一项所述的方法,进一步包括:确定用于固化所述基板或所述聚合物的多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。
17.如权利要求16所述的方法,进一步包括:选定多个不连续的微波能量带宽或多个预定的不连续的微波能量频率。
18.一种基板处理系统,包括:
可变频率微波腔室,所述可变频率微波腔室被配置为用于使在使用期间设置在所述腔室内的聚合物与多个预定的不连续的微波能量带宽或多个预定的不连续的微波频率接触以固化所述聚合物。
19.如权利要求18所述的基板处理系统,进一步包括:
真空基板传输腔室,其中所述可变频率微波腔室耦接到所述真空基板传输腔室;以及
额外腔室,所述额外腔室耦接到所述真空基板传输腔室,其中所述基板处理系统被配置为在真空下将所述聚合物从所述可变频率微波腔室移动到所述额外腔室。
20.一种计算机可读取介质,所述计算机可读取介质具有存储在其上的指令,所述指令在被执行时使得可变频率微波工艺腔室执行方法,所述方法如权利要求1到9中任一项所述。
CN202080048211.6A 2019-07-07 2020-07-02 用于聚合材料的微波处理的方法及装置 Pending CN114051448A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962871181P 2019-07-07 2019-07-07
US62/871,181 2019-07-07
PCT/US2020/040708 WO2021007105A1 (en) 2019-07-07 2020-07-02 Methods and apparatus for microwave processing of polymer materials

Publications (1)

Publication Number Publication Date
CN114051448A true CN114051448A (zh) 2022-02-15

Family

ID=74066679

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080048211.6A Pending CN114051448A (zh) 2019-07-07 2020-07-02 用于聚合材料的微波处理的方法及装置

Country Status (6)

Country Link
US (1) US20210001520A1 (zh)
JP (1) JP2022540080A (zh)
KR (1) KR20220034148A (zh)
CN (1) CN114051448A (zh)
TW (1) TW202129758A (zh)
WO (1) WO2021007105A1 (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5721286A (en) * 1991-11-14 1998-02-24 Lockheed Martin Energy Systems, Inc. Method for curing polymers using variable-frequency microwave heating
US5738915A (en) * 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US20010054775A1 (en) * 2000-05-19 2001-12-27 Bausch & Lomb Incorporated Method for the manufacture of molded polymeric devices using variable frequency microwaves
US20140068962A1 (en) * 2012-09-07 2014-03-13 Applied Materials, Inc. Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
CN105453227A (zh) * 2013-08-21 2016-03-30 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
CN109314060A (zh) * 2016-06-19 2019-02-05 应用材料公司 用于由微波固化调整聚合物的热膨胀系数(cte)的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1264960B1 (it) * 1993-11-11 1996-10-17 Eniricerche Spa Composizioni isocianato/epossido polimerizzabili mediante microonde per applicazioni tecnicamente impegnative
US6222170B1 (en) * 1999-08-24 2001-04-24 Ut-Battelle, Llc Apparatus and method for microwave processing of materials using field-perturbing tool
US7557035B1 (en) * 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
US9750091B2 (en) * 2012-10-15 2017-08-29 Applied Materials, Inc. Apparatus and method for heat treatment of coatings on substrates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5721286A (en) * 1991-11-14 1998-02-24 Lockheed Martin Energy Systems, Inc. Method for curing polymers using variable-frequency microwave heating
US5738915A (en) * 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US20010054775A1 (en) * 2000-05-19 2001-12-27 Bausch & Lomb Incorporated Method for the manufacture of molded polymeric devices using variable frequency microwaves
US20140068962A1 (en) * 2012-09-07 2014-03-13 Applied Materials, Inc. Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
CN104603914A (zh) * 2012-09-07 2015-05-06 应用材料公司 多腔室真空系统确认中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
CN105453227A (zh) * 2013-08-21 2016-03-30 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
CN109314060A (zh) * 2016-06-19 2019-02-05 应用材料公司 用于由微波固化调整聚合物的热膨胀系数(cte)的方法

Also Published As

Publication number Publication date
JP2022540080A (ja) 2022-09-14
KR20220034148A (ko) 2022-03-17
WO2021007105A1 (en) 2021-01-14
TW202129758A (zh) 2021-08-01
US20210001520A1 (en) 2021-01-07

Similar Documents

Publication Publication Date Title
US20220341042A1 (en) Batch curing chamber with gas distribution and individual pumping
CN105917440B (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
KR102268929B1 (ko) 반도체 장치의 제조 방법
KR100773305B1 (ko) 전자빔 방사를 이용하여 스핀-온 유전막을 경화하는 방법
CN105745740B (zh) 用于稳定蚀刻后界面以使下一处理步骤之前的队列时间问题最小化的方法
KR102312761B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 진공 처리 장치
US20160013053A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
WO2014062619A1 (en) Apparatus and method for heat treatment of coatings on substrates
WO2018212882A2 (en) Cvd thin film stress control method for display application
CN109314060B (zh) 用于由微波固化调整聚合物的热膨胀系数(cte)的方法
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
CN114051448A (zh) 用于聚合材料的微波处理的方法及装置
KR102270547B1 (ko) 반도체 장치의 제조 방법 및 진공 처리 장치
TWI835936B (zh) 用於形成微波可調式複合薄膜介電層的方法
JPH10289903A (ja) 低比誘電性絶縁膜及びその形成方法並びに層間絶縁膜
CN112840436A (zh) 用于形成微波可调谐复合薄膜介电层的方法
US8497196B2 (en) Semiconductor device, method for fabricating the same and apparatus for fabricating the same
US20240047291A1 (en) High density plasma cvd for display encapsulation application
CN114628213A (zh) 晶片处理系统、气体喷射系统和控制气体的温度的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination