KR102270547B1 - 반도체 장치의 제조 방법 및 진공 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 진공 처리 장치 Download PDF

Info

Publication number
KR102270547B1
KR102270547B1 KR1020180028952A KR20180028952A KR102270547B1 KR 102270547 B1 KR102270547 B1 KR 102270547B1 KR 1020180028952 A KR1020180028952 A KR 1020180028952A KR 20180028952 A KR20180028952 A KR 20180028952A KR 102270547 B1 KR102270547 B1 KR 102270547B1
Authority
KR
South Korea
Prior art keywords
film
substrate
wafer
polyurea
supplying
Prior art date
Application number
KR1020180028952A
Other languages
English (en)
Other versions
KR20180105579A (ko
Inventor
다츠야 야마구치
레이지 니이노
히로유키 하시모토
슈지 노자와
마코토 후지카와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180105579A publication Critical patent/KR20180105579A/ko
Application granted granted Critical
Publication of KR102270547B1 publication Critical patent/KR102270547B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0455Making n or p doped regions or layers, e.g. using diffusion
    • H01L21/046Making n or p doped regions or layers, e.g. using diffusion using ion implantation
    • H01L21/0465Making n or p doped regions or layers, e.g. using diffusion using ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 기판에 형성되는 막에 미세한 패턴을 형성하여, 반도체 장치의 미세화를 도모할 수 있는 기술을 제공하는 것이다.
기판의 표면에 중합용 원료를 공급하여, 요소 결합을 갖는 중합체로 이루어지는 제1 막(12)을 형성하는 공정과, 계속해서, 상기 제1 막(12)을 에칭해서 패턴(17)을 형성하는 공정과, 계속해서, 상기 기판을 가열해서 상기 중합체를 해중합함과 함께 당해 기판에 상기 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급하여, 상기 제1 막(12)에 치환되도록 당해 제1 막과는 상이한 재질에 의해 구성되는 제2 막(18)을 형성하는 공정을 행한다.

Description

반도체 장치의 제조 방법 및 진공 처리 장치{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND VACUUM PROCESSING APPARATUS}
본 발명은 반도체 장치를 제조하기 위한 기판에 성막을 행하는 기술에 관한 것이다.
반도체 장치의 제조 공정에서는 기판인 반도체 웨이퍼(이하, 웨이퍼라고 기재함)에 대하여 각종 막이 성막된다. 이 막 중에는 하층의 막을 가공하기 위한 마스크로서 사용할 수 있는 것이 있다. 발명의 실시 형태의 항목에서 구체예를 들기 때문에 여기에서는 간단하게 설명하는데, 마스크로서의 기능을 행하기 위해서 특정한 재질의 막을 성막하는 것이 요구되는 경우가 있다. 그러나, 그 막이 갖는 특성에 의해, 웨이퍼가 휨으로써 당해 막에 미세한 가공을 행하는 것이 어려워져, 배선의 미세화를 도모할 수 없게 될 우려가 있다.
특허문헌 1에는, 기판 상의 다공질의 저유전율막의 구멍부에 사전에 PMMA(아크릴 수지)를 매립하고, 저유전율막에 대하여 에칭 등의 처리를 행한 후, 기판을 가열하고, 용제를 공급하고, 또한 마이크로파를 공급해서 PMMA를 제거하는 기술이 기재되어 있다. 그러나, 이 기술은, 상기 문제를 해결할 수 있는 것이 아니다.
미국 특허 제9,414,445(제2란 제23행 내지 29행, 제13란 제51행 내지 53행, 클레임 3)
본 발명은 이러한 사정 하에 이루어진 것이며, 그 목적은, 기판에 형성되는 막에 미세한 패턴을 형성하여, 반도체 장치의 미세화를 도모할 수 있는 기술을 제공하는 것이다.
본 발명의 반도체 장치의 제조 방법은, 기판에 대하여 처리를 행하여, 반도체 장치를 제조하는 방법에 있어서,
상기 기판의 표면에 중합용 원료를 공급하여, 요소 결합을 갖는 중합체로 이루어지는 제1 막을 형성하는 공정과,
계속해서, 상기 제1 막을 에칭해서 패턴을 형성하는 공정과,
계속해서, 상기 기판을 가열해서 상기 중합체를 해중합함과 함께 당해 기판에 상기 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급하여, 상기 제1 막에 치환되도록 당해 제1 막과는 상이한 재질에 의해 구성되는 제2 막을 형성하는 공정을 구비하는 것을 특징으로 한다.
본 발명의 진공 처리 장치는, 요소 결합을 갖는 중합체로 이루어지는 제1 막과 당해 제1 막 상에 형성된 레지스트 패턴을 구비하는 레지스트막을 표면에 구비하는 기판에 대하여, 진공 분위기에서 에칭을 행하여 상기 레지스트 패턴에 대응하는 패턴을 제1 막에 형성하는 에칭 처리 모듈과,
상기 기판을 가열해서 상기 중합체를 해중합함과 함께 당해 기판에 상기 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급하여, 상기 제1 막에 치환되도록 당해 제1 막과는 상이한 재질의 제2 막을 형성하는 치환 모듈을 구비하는 것을 특징으로 한다.
본 발명에 따르면, 요소 결합을 갖는 중합체로 이루어짐과 함께 패턴이 형성된 제1 막을 구비한 기판을 가열해서 중합체를 해중합한다. 이 해중합과 함께 기판에는, 중합체를 구성하는 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급함으로써, 제1 막에 치환되도록 당해 제1 막과는 상이한 재질의 제2 막을 형성한다. 그에 의해, 제2 막에 형성되는 패턴의 미세화를 도모할 수 있고, 결과로서 당해 기판으로부터 제조되는 반도체 장치의 배선의 미세화를 도모할 수 있다.
도 1은 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 2는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 3은 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 4는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 5는 상기 제조 방법의 공정에서 진행되는 화학 반응을 도시하는 설명도이다.
도 6은 폴리요소막이 폴리이미드막으로 치환되는 상태를 도시하는 모식도이다.
도 7은 폴리요소막이 폴리이미드막으로 치환되는 상태를 도시하는 모식도이다.
도 8은 요소막을 형성하는 반응을 도시하는 설명도이다.
도 9는 폴리요소막이 치환되어 발생하는 치환 막 및 치환 막을 형성하기 위한 치환용 가스의 예를 나타내는 설명도이다.
도 10은 폴리요소막이 치환되어 발생하는 치환 막 및 치환 막을 형성하기 위한 치환용 가스의 예를 나타내는 설명도이다.
도 11은 폴리요소막이 치환되어 발생하는 치환 막 및 치환 막을 형성하기 위한 치환용 가스의 예를 나타내는 설명도이다.
도 12는 상기 반도체 장치의 제조 방법을 실시하기 위한 도포, 현상 장치의 평면도이다.
도 13은 상기 도포, 현상 장치의 사시도이다.
도 14는 상기 도포, 현상 장치의 종단 측면도이다.
도 15는 상기 도포, 현상 장치에 설치되는 폴리요소막을 형성하기 위한 모듈의 종단 측면도이다.
도 16은 상기 반도체 장치의 제조 방법을 실시하기 위한 진공 처리 장치의 평면도이다.
도 17은 상기 진공 처리 장치에 설치되는 에칭 처리 모듈의 종단 측면도이다.
도 18은 상기 진공 처리 장치에 설치되는, 막을 치환하는 치환 모듈의 종단 측면도이다.
도 19는 상기 진공 처리 장치에 설치되는, 도핑 모듈의 종단 측면도이다.
도 20은 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 21은 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 22는 비교예에 있어서의 반도체 장치의 제조 방법의 공정의 일부를 도시하는 설명도이다.
도 23은 막의 치환을 이용한 패턴의 형성이 행하여지는 상태를 도시하는 설명도이다.
도 24는 평가 시험의 결과를 나타내는 그래프도이다.
도 25는 평가 시험의 결과를 나타내는 그래프도이다.
도 26은 평가 시험의 결과를 나타내는 그래프도이다.
도 27은 평가 시험에서의 처리 공정을 도시하는 설명도이다.
도 28은 평가 시험의 결과를 나타내는 그래프도이다.
[제1 실시 형태]
본 발명의 반도체 장치의 제조 방법의 제1 실시 형태에 대해서 도 1의 (a) 내지 도 4의 (j)를 사용해서 설명한다. 이 제1 실시 형태의 제조 방법은, 예를 들어 반도체 장치인 MOSFET(metal-oxide-semiconductor field-effect transistor)의 제조 공정에서 이온 주입이 행하여지는 각 공정에 적용할 수 있다. 도 1의 (a)에서는 웨이퍼(W)의 표면에서의 SiC(탄화 실리콘)층(11)을 나타내고 있다.
우선, 하층 막을 이루는 SiC층(11) 상에 적층되도록, 폴리요소(PU)막(12)이 형성된다(도 1의 (b)). 이 폴리요소막(12)에 대해서는, 도 5 중에 화살표 A1로 나타내는 화학 반응이 진행됨으로써 형성된다. 상세하게 설명하면, 원료 단량체인 이소시아네이트 및 아민을, 요소 결합이 형성되도록 공중합시킴으로써 형성할 수 있다. 도 5에서는 이소시아네이트의 예로서 디이소시아네이트를, 아민의 예로서 디아민을 각각 나타내고 있다. 또한, 도 5 중의 R은 예를 들어 알킬기(직쇄상 알킬기 또는 환상 알킬기) 또는 아릴기이며, n은 2 이상의 정수이다.
원료 단량체인 아민으로서는, 예를 들어 지환식 화합물 또는 지방족 화합물을 사용할 수 있고, 당해 지환식 화합물로서는, 예를 들어 1,3-비스(아미노메틸)시클로헥산(H6XDA)을, 당해 지방족 화합물로서는, 예를 들어 1,12-디아미노도데칸(DAD)을 각각 들 수 있다. 원료 단량체인 이소시아네이트로서는, 예를 들어 지환식 화합물, 지방족 화합물, 방향족 화합물 등을 사용할 수 있다. 당해 지환식 화합물로서는, 예를 들어 1,3-비스(이소시아네이토메틸)시클로헥산(H6XDI)을, 당해 지방족 화합물로서는, 예를 들어 헥사메틸렌디이소시아네이트를 각각 들 수 있다. 이 폴리요소막(12)은, 예를 들어 이러한 원료 단량체인 아민, 이소시아네이트를 포함한 약액이 웨이퍼(W)에 공급됨과 함께 가열됨으로써 형성된다.
계속해서 폴리요소막(12) 상에 적층되도록, 무기막(13)이 형성된다(도 1의 (c)). 무기막(13)은, 예를 들어 Si를 주성분으로서 포함하는 반사 방지막이며, 더욱 구체적으로는 예를 들어 SiOC(탄소 첨가 실리콘 옥시드)막, SiN(질화 실리콘)막, 폴리실리콘막, SiO2(산화 실리콘)막 등에 의해 구성된다. 무기막(13)에 대해서는, 예를 들어 CVD(Chemical Vapor Deposition)에 의해 형성해도 되고, 후술하는 바와 같이 웨이퍼(W)에 약액을 공급함으로써 형성해도 된다.
계속해서, 무기막(13) 상에 적층되도록, 레지스트막(14)이 형성된다(도 2의 (d)). 그리고, 레지스트막(14)이 노광, 현상됨으로써, 마스크 패턴인 레지스트 패턴을 이루는 개구부(15)가 형성된다(도 2의 (e)). 그 후, 이 레지스트막(14)을 마스크로 해서 무기막(13)이 에칭되고(도 2의 (f)), 무기막(13)에 마스크 패턴을 이루는 개구부(16)가 형성된다. 이 에칭은 예를 들어, 웨이퍼(W)에 에칭 가스를 공급함으로써 행하여진다. 일례를 들면, 상기와 같이 무기막(13)이 SiOC 또는 SiN일 경우에는, 에칭 가스로서 CF4(사불화탄소) 등의 CF계의 가스를 사용할 수 있다.
또한 무기막(13)을 마스크로 해서, 폴리요소막(12)을 에칭해서 당해 폴리요소막(12)에 마스크 패턴을 이루는 개구부(17)가 형성됨과 함께, 레지스트막(14)이 제거된다(도 3의 (g)). 이 에칭은, 예를 들어 에칭 가스로서 O2(산소) 가스가 사용되고, 이 O2 가스를 플라스마화함으로써 행하여진다. 그 후, 무기막(13)이 에칭되어 제거된다(도 3의 (h)). 이 에칭은, 예를 들어 웨이퍼(W)에 에칭 가스를 공급함으로써 행하여진다. 상기와 같이 무기막(13)이 SiOC 또는 SiN일 경우에는 에칭 가스로서 CF계 가스를 사용할 수 있다.
그 후, 폴리이미드(PI)막(18)이 폴리요소막(12)에 치환되도록 형성된다(도 3의 (i)). 이 폴리이미드막(18)의 형성에 대해서 상세하게 설명하면, 폴리요소막(12)을 구성하는 폴리요소는 200℃ 이상으로 가열되면, 폴리요소 중의 요소 결합이 절단되고, 당해 폴리요소는 원료 단량체인 아민과 이소시아네이트로 해중합한다. 즉, 도 5 중에서 화살표 A2로 나타내는 화학 반응이 진행된다. 이 폴리요소의 가열은, 예를 들어 진공 분위기 하에서 행하여진다. 그리고, 이 가열에 병행하여, 웨이퍼(W)에는 폴리이미드막을 형성하는 원료 단량체인 예를 들어 피로멜리트산 이무수물(PMDA)을 포함하는 막 치환용 가스(반응 가스)가 공급되어, 이 PMDA와 폴리요소막(12)의 해중합에 의해 발생한 아민과의 화학 반응이 진행된다. 즉, 도 5 중에 화살표 A3, A4로 나타내는 화학 반응이 진행되어, 상기와 같이 폴리이미드막(18)이 형성된다. 또한, 해중합에 의해 발생한 이소시아네이트는 기화해서 막으로부터 탈리하고, 확산해서 제거된다.
도 6, 도 7은, 상기 폴리요소막(12)으로부터 폴리이미드막(18)으로의 치환이 진행되는 상태를 나타낸 모식도이다. 도면 중 그레이스케일의 원인 21은 막 중의 요소 결합을, 백색 바탕의 원인 22는 해중합에 의해 발생한 원료 단량체를, 사선을 첨부한 원인 23은 생성한 폴리이미드를 각각 나타내는 것으로 한다. 도 6의 (a)는 가열 전의 웨이퍼(W)를 나타내고 있다. 웨이퍼(W)가 가열되어 온도가 상승하고, 200℃에 달하면 상기 해중합이 일어나, 폴리요소막(12) 중에 원료 단량체(22)가 발생한다(도 6의 (b)). 또한, 온도가 상승하면 막 중에 원료 단량체(22)가 증가하고(도 6의 (c)), 웨이퍼(W)는 예를 들어 250℃에 달한다. 이때, 폴리요소막(12) 중에서는, 일부만의 요소 결합(21)이 절단된 상태이다(도 6의 (d)). 이 상태를 상세하게 설명하면, 폴리요소막(12) 중에서는, 폴리요소로부터 원료 단량체에의 해리와, 원료 단량체로부터 요소 결합의 형성에 의한 폴리요소의 생성이 동시에 진행되는 동적 평형 상태로 되어 있어, 막 중에 원료 단량체(22)가 일정한 비율로 존재하는 상태에서, 폴리요소막(12)은 소실되지 않는다.
이렇게 동적 평형으로 되어 있는 상태에서 상기 막 치환용 가스가 웨이퍼(W)에 공급되어 폴리요소막(12)의 외부로부터 내부로 확산하여, 폴리요소막(12)의 막 표층에서 발생하고 있는 원료 단량체(22)가, 도 5에서 설명한 바와 같이 이 막 치환용 가스와 반응해서 폴리이미드(23)를 발생한다(도 7의 (e)). 폴리요소막(12)의 막 내부로의 막 치환용 가스의 한층 더한 확산과, 확산처에서의 원료 단량체(22)와의 반응이 계속해서 일어나, 폴리요소막(12)의 표층으로부터 내부를 향해서 폴리이미드(23)로 치환되는 영역이 확산한다. 즉, 폴리요소막(12)의 막 표층으로부터 내부를 향해서 점차 폴리이미드막(18)으로의 치환이 진행되어(도 7의 (f), (g)), 최종적으로 폴리요소막(12) 전체가 폴리이미드막(18)으로 치환된다(도 7의 (h)).
상기 폴리이미드막(18)으로의 치환을 행할 때 웨이퍼(W)의 온도가 너무 높으면, 폴리요소막(12)의 해중합이 과도하게 진행되어 당해 폴리요소막(12)이 소실되고, 폴리이미드막(18)의 형성을 행할 수 없게 되어버린다. 또한, 웨이퍼(W)의 온도가 너무 낮으면, 이 해중합이 진행되지 않으므로, 폴리이미드막(18)을 형성할 수 없다. 그래서 웨이퍼(W)는, 예를 들어 200℃ 내지 300℃에서 가열하는 것이 바람직하다. 또한, 이렇게 폴리요소막(12)을 해중합함으로써 폴리이미드막(18)을 형성하기 때문에, 도 1의 (b)에서 폴리요소막(12)이 형성되고 나서 폴리이미드막(18)을 형성하기 직전의 도 3의 (h)에서 나타내는 무기막(13)을 에칭할 때까지의 각 프로세스는, 당해 해중합에 의해 폴리요소막(12)이 소실하지 않는 온도에서 행한다.
이 폴리이미드막(18)의 형성 후, 당해 폴리이미드막(18)을 마스크로 해서, 예를 들어 BF3(삼불화붕소) 등을 도펀트로 하는 이온 주입이 행하여진다. 도 4의 (j)에서 이온 주입이 행하여진 영역을 19로 나타내고 있다. SiC에 대하여 이온 주입을 행하기 위해서는 비교적 높은 온도로 하는 것이 필요해지기 때문에, 400℃ 이상의 내열성을 갖는 폴리이미드막(18)을 마스크로서 사용하는 것이 유효하다.
이하, 상기 발명의 실시 형태에서, 제1 막인 폴리요소막(12)을 치환함으로써 제2 막인 폴리이미드막(18)을 형성하고 있는 이유를 설명한다. 폴리이미드막(18)은, 폴리아미드산 등의 성막 원료를 포함한 약액을 웨이퍼(W)에 도포한 후, 웨이퍼(W)를 가열해서 약액 내의 용제를 증발시킴으로써도 형성할 수 있다. 따라서, 도 1의 (b)에서 폴리요소막(12)을 형성하는 대신에, 이 약액의 도포와 가열에 의해 폴리이미드막(18)을 SiC층(11) 상에 형성할 수 있다. 그리고, 이 폴리이미드막(18)의 형성 후에는 폴리요소막(12)을 형성한 경우와 마찬가지로, 무기막(13), 레지스트막(14)을 형성하고, 레지스트막(14)에 형성한 레지스트 패턴을 폴리이미드막(18)에 전사하여, 이온 주입 시의 마스크로 하는 것을 생각할 수 있다. 그러나, 이러한 제조 공정으로 하면, 상기 약액의 도포 후, 웨이퍼(W)를 가열해서 폴리이미드막(18)을 형성할 때 당해 폴리이미드막(18)이 열 수축하여, 웨이퍼(W)에 비교적 큰 응력이 가해짐으로써 휨이 발생해버린다. 그에 의해, 레지스트막(14)에 있어서 노광되는 노광 영역이 소정의 영역으로부터 어긋나는 노광 불량이 발생할 우려가 있다. 그 결과, 레지스트막(14) 및 폴리이미드막(18)에 형성되는 패턴의 위치가 소정의 위치에서 어긋나게 되므로, 이들의 각 막에 형성되는 패턴 및 이온 주입되는 영역의 미세화가 곤란해질 우려가 있다.
그러나, 상기 발명의 실시 형태에서는, 약액을 도포해서 폴리요소막(12)을 형성하고 있다. 이 폴리요소막(12)이 형성될 때의 열수축은 작아, 상기와 같이 약액으로부터 폴리이미드를 형성하는 경우에 비해 웨이퍼(W)에 가해지는 응력이 작기 때문에, 당해 웨이퍼(W)에 휨이 발생하기 어렵다. 그리고, 레지스트막(14)에 형성한 패턴을 폴리요소막(12)에 전사한 후에 폴리요소막(12)의 해중합을 이용해서 폴리요소막(12)을 폴리이미드막(18)으로 치환하고, 폴리이미드막(18)을 마스크로 해서 SiC층(11)에 이온 주입을 행하고 있다. 웨이퍼(W)의 휨이 억제되어 있기 때문에, 레지스트막(14)의 소정의 위치에 정밀도 높게 패턴을 형성할 수 있고, 결과로서 폴리요소막(12), 폴리이미드막(18)에도 소정의 위치에 정밀도 높게 패턴을 형성할 수 있으므로, 이온 주입을 SiC층(11)의 소정의 위치에 정밀도 높게 행할 수 있다. 따라서, 발명의 실시 형태의 방법에 의하면, 각 막에 형성되는 패턴 및 SiC층(11)에 있어서 이온 주입되는 영역의 미세화를 도모할 수 있다. 그 결과, 웨이퍼(W)로부터 제조되는 반도체 장치의 배선의 미세화를 도모할 수 있다.
그런데, 도 8의 (a), (b)는, 도 5에 도시한 것 이외의 원료 단량체의 예를 나타낸 것이다. 웨이퍼(W)에 마스크로서 형성되는 요소막으로서는 상기 폴리요소막(12)과 같이 고분자 화합물인 것에 한정되지 않고, 올리고머이어도 되고, 도 8의 (a)에 도시하는 바와 같이 당해 올리고머가 형성되는, 아민, 이소시아네이트를 원료 단량체로서 사용해서 요소막을 형성해도 된다. 또한, 도 8의 (b)에 도시한 바와 같이, 이소시아네이트와 2급 아민을 원료 단량체로서 사용해도 되고, 이 경우에 생성되는 중합체에 포함되는 결합도 요소 결합이다.
그런데, 상기 막 치환용 가스로서는 PMDA를 포함하는 가스를 사용하는 것에 한정되지는 않고, 폴리요소막(12)을 치환해서 형성되는 막(치환 막)의 재질로서도 폴리이미드에 한정되지는 않는다. 도 9의 (a) 내지 도 9의 (e), 도 10의 (a) 내지 도 10의 (c)에는, 막 치환용 가스를 구성하는 화합물의 예를 나타내고 있다. 도 9의 (a) 내지 도 9의 (e)에 나타낸 각 화합물은, 폴리요소막(12)이 해중합해서 발생한 아민과 반응하여, 각각 화살표의 끝에 나타내는 화합물을 발생해서, 당해 화합물에 의해 치환 막이 구성된다. 도 10의 (a) 내지 도 10의 (c)에 나타낸 각 화합물은, 폴리요소막(12)이 해중합해서 발생한 이소시아네이트와 반응하여, 각각 화살표의 끝에 나타내는 화합물을 발생해서, 당해 화합물에 의해 치환 막이 구성된다.
도 11의 (a), (b)에서는, 또한 다른 치환 막의 화합물의 예를 나타내고 있다. 도면 중에는, 막 치환용 가스를 구성하는 화합물도 나타내고 있지만, 당해 화합물 중의 X는, 아미노기 또는 이소시아네이트기와, 이들 기에 결합하는 관능기를 나타내고 있다. 따라서, 도에 도시한 바와 같이, 도 11의 (a), 도 11의 (b)에서는, 아미노기 또는 이소시아네이트기를 각각 1개, 2개 갖는 화합물을 막 치환용 가스로서 공급하는 경우에 형성되는 치환 막의 화합물의 예를 나타내고 있다. 치환 막의 화합물 중에서의 Y는, 형성된 결합을 나타내고 있다. 도 11의 (a)의 경우, 폴리요소가 갖는 골격(R로서 표시)을 막 치환용 가스가 갖는 2개의 골격(T로서 표시하고 있음)의 사이에 둔 구조의 화합물이, 치환 막의 화합물로서 생성한다. 도 11의 (b)의 경우, 골격(R)과 골격(T)이 결합(Y)을 통해서 반복하도록 가교된 구조의 중합체가, 치환 막의 화합물로서 생성한다.
계속해서, 도 1의 (a) 내지 도 2의 (e)에서 설명한 폴리요소막(12)의 형성에서부터 레지스트 패턴의 형성에 이르기까지의 일련의 처리를 행하기 위한 기판 처리 장치인 도포, 현상 장치(3)에 대해서, 도 12 내지 도 14를 참조하면서 설명한다. 도 12, 도 13, 도 14는, 각각 도포, 현상 장치(3)의 평면도, 사시도, 개략적인 종단 측면도이다. 이 도포, 현상 장치(3)에서의 각 처리는, 상압 분위기에서 행하여진다.
도포, 현상 장치(3)는, 캐리어 블록(D1)과, 처리 블록(D2)과, 인터페이스 블록(D3)을, 가로 방향으로 직선상으로 접속해서 구성되어 있다. 인터페이스 블록(D3)에는, 노광 장치(D4)가 접속되어 있다. 이후의 설명에서는 블록(D1 내지 D3)의 배열 방향을 전후 방향으로 한다. 캐리어 블록(D1)은, 캐리어(C)의 적재대(31)와, 적재대(31)에 적재되는 캐리어(C)의 정면에 설치된, 캐리어(C)의 덮개와 함께 개폐되는 개폐부(32)와, 개폐부(32)를 통해서 캐리어(C) 내와 캐리어 블록(D1) 내와의 사이에서 웨이퍼(W)를 반송하는 반송 기구(33)를 구비하고 있다.
처리 블록(D2)은, 웨이퍼(W)에 액 처리를 행하는 6개의 단위 블록(E)이 아래에서부터 순서대로 적층되어 구성되어 있다. 이 6개의 단위 블록(E)으로서는 E1 내지 E3의 3종류가 2층씩 설치되어 있고, 동일한 단위 블록에 대해서는 마찬가지로 구성되어, 서로 동일한 처리가 행하여진다. 또한, 각 단위 블록(E)에서는, 서로 독립해서 웨이퍼(W)의 반송 및 처리가 행하여진다.
도 12에 나타내는 단위 블록(E1)에 대해서 설명한다. 캐리어 블록(D1)으로부터 인터페이스 블록(D3)을 향하는 웨이퍼(W)의 반송 영역(34)이 설치되어 있고, 반송 영역(34)의 좌우의 일방측에는 가열 처리부인 가열 모듈(35)이 전후 방향을 따라서 복수 설치되어 있다. 반송 영역(34)의 좌우의 타방측에는 폴리요소막 형성 모듈(5)과, 무기막(13)을 형성하기 위한 무기막 형성 모듈(36)이, 전후 방향을 따라서 설치되어 있다. 폴리요소막 형성 모듈(5)은, 웨이퍼(W)의 표면에 약액을 도포함으로써, 상기 폴리요소막(12)을 형성하는 모듈이며, 그 구성에 대해서는 상세히 후술한다. 무기막 형성 모듈(36)은, 웨이퍼(W)의 표면에 상기 무기막(13)을 형성하기 위한 약액을 도포하는 모듈이다. 또한, 반송 영역(34)에는, 웨이퍼(W)의 반송 기구인 반송 아암(F1)이 설치되어 있다.
단위 블록(E2)은, 폴리요소막 형성 모듈(5) 및 무기막 형성 모듈(36) 대신에 레지스트막 형성 모듈(37)을 2개 구비하는 것을 제외하고, 단위 블록(E1)과 마찬가지로 구성되어 있다. 레지스트막 형성 모듈(37)은, 웨이퍼(W)의 표면에 약액으로서 레지스트를 도포해서 레지스트막(14)을 형성하기 위한 모듈이다.
단위 블록(E3)은, 폴리요소막 형성 모듈(5) 및 무기막 형성 모듈(36) 대신에 현상 모듈(38)을 2개 구비하는 것을 제외하고, 단위 블록(E1)과 마찬가지로 구성되어 있다. 현상 모듈(38)은, 웨이퍼(W)의 표면에 약액으로서 현상액을 공급해서 레지스트막(14)을 현상하고, 레지스트 패턴을 형성한다. 또한, 상기 무기막 형성 모듈(36), 레지스트막 형성 모듈(37) 및 현상 모듈(38)은, 웨이퍼(W)에 공급하는 약액의 종류가 상이한 것 및 후술하는 LED(57)가 설치되지 않는 것을 제외하고, 폴리요소막 형성 모듈(5)과 마찬가지로 구성되어 있다. 또한, 단위 블록(E1)의 반송 아암(F1)에 상당하고, 단위 블록(E2, E3)에 설치되는 반송 아암을 각각 F2, F3으로 한다. 또한, 단위 블록(E2)에 설치되는 가열 모듈(35)은, 레지스트가 도포된 웨이퍼(W)를 가열 처리하는 노광 전의 가열 처리부로서 구성되고, 단위 블록(E3)에 설치되는 가열 모듈(35)은, 노광 후의 웨이퍼(W)를 가열하는 노광 후의 가열 처리부로서 구성되어 있다.
처리 블록(D2)에서의 캐리어 블록(D1)측에는, 6개의 단위 블록(E)에 걸쳐서 상하로 신장되는 타워(T1)와, 타워(T1)에 대하여 웨이퍼(W)의 수수를 행하기 위한 승강 가능한 반송 기구인 수수 아암(39)이 설치되어 있다. 타워(T1)는 서로 적층된 복수의 수수 모듈(TRS)을 구비하고, 단위 블록(E1 내지 E3)의 각 높이에 설치되는 수수 모듈은, 당해 단위 블록(E1 내지 E3)의 각 반송 아암(F1 내지 F3)과의 사이에서 웨이퍼(W)를 주고받을 수 있다.
인터페이스 블록(D3)에서는, 6개의 단위 블록(E)에 걸쳐서 상하로 신장되는 타워(T2, T3, T4)가 설치되어 있다. 또한, 타워(T2)와 타워(T3)에 대하여 웨이퍼(W)의 수수를 행하기 위한 승강 가능한 반송 기구인 인터페이스 아암(41)과, 타워(T2)와 타워(T4)에 대하여 웨이퍼(W)의 수수를 행하기 위한 승강 가능한 반송 기구인 인터페이스 아암(42)과, 타워(T2)와 노광 장치(D4)의 사이에서 웨이퍼(W)의 수수를 행하기 위한 반송 기구인 인터페이스 아암(43)이 설치되어 있다.
타워(T2)는, 수수 모듈(TRS), 노광 처리 전의 복수매의 웨이퍼(W)를 저장해서 체류시키는 버퍼 모듈, 노광 처리 후의 복수매의 웨이퍼(W)를 저장하는 버퍼 모듈, 및 웨이퍼(W)의 온도 조정을 행하는 온도 조절 모듈 등이 서로 적층되어 구성되어 있지만, 여기에서는, 버퍼 모듈 및 온도 조절 모듈의 도시는 생략한다. 또한, 타워(T3, T4)에도 각각 웨이퍼(W)가 반송되는 모듈이 설치되어 있지만, 여기에서는 설명을 생략한다.
상기 폴리요소막 형성 모듈(5)에 대해서, 도 15를 참조하여 설명한다. 도면 중 51은, 웨이퍼(W)를 흡착 유지해서 회전 기구(52)에 의해 회전하는 적재대인 진공 척, 53은 컵 모듈, 54는 하방으로 신장되는 외주벽 및 내주벽이 통 형상으로 형성된 가이드 부재이다. 55는, 전체 둘레에 걸쳐서 배기, 액체 배출을 행할 수 있도록 외부 컵(56)과 상기 외주벽과의 사이에 형성된 배출 공간이며, 배출 공간(54)의 하방측은 기액 분리할 수 있는 구조로 되어 있다. 도면 중 57은, 예를 들어 하방측으로부터 웨이퍼(W)에 광을 조사함으로써 가열하는 LED(발광 다이오드)이며, 후술하는 바와 같이 웨이퍼(W)에 약액이 공급될 때, 중합이 행해지도록 당해 웨이퍼(W)를 가열한다.
공급원(58A)으로부터, 도 5에서 설명한 디아민의 용액(제1 약액으로 함)이, 공급원(58B)으로부터, 도 5에서 설명한 디이소시아네이트의 용액(제2 약액으로 함)이 각각 약액 노즐(59)을 향해서 공급되고, 이들 용액은 약액 노즐(59)에 공급되기 직전에 합류하여, 혼합 용액을 이룬다. 즉, 제1 약액과 제2 약액은 기판에 공급되기 직전에 혼합된다. 그리고, 약액 노즐(59)은 당해 혼합 용액을 연직 하방으로 토출한다. 원료 토출부인 약액 노즐(59)은, 도시하지 않은 구동 기구에 접속되어 있고, 웨이퍼(W)의 중심부 상과 외부 컵(56)의 외측과의 사이에서 이동 가능하게 구성되어 있다.
폴리요소막 형성 모듈(5)에서의 웨이퍼(W)의 처리에 대해서 설명한다. 우선, 원료 토출부를 이루는 약액 노즐(59)로부터 웨이퍼(W)의 중심부에 상기 혼합 용액이 공급됨과 함께 소정의 회전수로 웨이퍼(W)를 회전시켜, 당해 혼합 용액이 웨이퍼(W)의 표면에 펴진다. 즉, 제1 약액, 제2 약액이 각각 웨이퍼(W)에 스핀 코팅된다. 그리고, 웨이퍼(W) 표면에서 혼합 용액이 가열되어, 용액 중의 용제가 제거되고, 폴리요소막(12)이 형성된다.
또한, 웨이퍼(W)에는 제1 약액 및 제2 약액 중 한쪽의 약액을 먼저 공급하고, 그 후, 다른 쪽의 약액을 공급함으로써 성막을 행해도 된다. 그 경우에는, 공급원(58A)에 접속되는 디아민용 약액 노즐(59)과, 공급원(58B)에 접속되는 디이소시아네이트용 약액 노즐(59)을 설치하고, 이들 약액 노즐(59)로부터 약액을 각각 웨이퍼(W)에 토출해도 되고, 도 15에 도시하는 바와 같이 공급원(58A, 58B)에 공통의 노즐(59)로부터 제1 약액, 제2 약액을 차례로 공급해도 된다. 그 경우, 제1 약액 및 제2 약액 중 어느 것을 먼저 공급해도 상관없다. 이와 같이, 웨이퍼(W)에 약액을 공급하는 방법으로서는, 웨이퍼(W)에 공급하기 직전에 각종 약액을 혼합해서 웨이퍼(W)에 공급하는 방식과, 웨이퍼(W)에 각종 약액을 차례로 공급하는 방식이 있다. 또한, 차례로 공급한다는 것은, 각 약액을 1회씩 공급하는 경우 이외에, 각 약액을 교대로 반복해서 공급하는 것도 포함된다.
그런데, 상기 각 약액 노즐(59)은, 공급원(58A, 58B)으로부터 공급된 약액을 미스트로서 웨이퍼(W)에 토출하도록 구성되어 있어도 된다. 그렇게 미스트를 웨이퍼(W)에 공급하는 경우에 대해서도, 웨이퍼(W)에 공급하기 직전에 약액을 혼합해서 약액 노즐(59)로부터 그 혼합액을 미스트로서 토출해도 되고, 각 약액을 차례로 약액 노즐(59)에 공급해서 미스트로서 토출해도 된다. 이와 같이, 기판에 중합용 액체를 공급한다는 것은, 액류를 형성해서 당해 액체를 기판에 공급하는 것, 및 미스트의 상태로 당해 액체를 공급하는 것이 포함된다. 또한, 미스트를 웨이퍼(W)에 공급하는 데 있어서는, 웨이퍼(W)에 대해서는 회전하고 있지 않고, 정지한 상태로 되어 있어도 된다. 또한, 상기 무기막 형성 모듈(36), 레지스트막 형성 모듈(37) 및 현상 모듈(38)은, 웨이퍼(W)에 공급하는 약액의 종류가 상이한 것 및 LED(57)가 설치되지 않는 것을 제외하고, 폴리요소막 형성 모듈(5)과 마찬가지로 구성되어 있다.
웨이퍼(W)의 직전에서 제1 약액 및 제2 약액을 혼합하는 것은, 웨이퍼(W)에 공급되기 전에 약액의 유로 중에서 폴리요소가 형성되는 것을 방지하기 위해서이다. 상기 폴리요소막 형성 모듈(5)의 구성을 더욱 상세하게 설명하면, 공급원(58A), 공급원(58B)은 유로(501), 유로(502)를 각각 통해서 약액 노즐(59)에 접속되어 있다. 공급원(58A), 공급원(58B)은, 제1 약액, 제2 약액이 각각 저류되는 탱크를 구비하고, 유로(501, 502)에는, 그렇게 공급원(58A, 58B)에 저류되는 각 약액을 약액 노즐(59)에 압송하는 펌프(503, 504)가 각각 개재 설치되어 있다. 유로(501, 502)는, 예를 들어 펌프(503, 504)의 하류측에서 서로 합류하여 합류로를 이루고, 당해 합류로의 하류단이 약액 노즐(59)에 접속되어 있어, 이와 같은 구성에 의해 상술한 바와 같이 제1 약액 및 제2 약액을 웨이퍼(W)에 공급하기 직전에 혼합시켜 혼합 용액을 이룰 수 있다. 도 15에 도시하는 예에서는 약액 노즐(59)의 상류측에서 유로(501, 502)가 합류하고 있지만, 약액 노즐(59)에 있어서 각 유로(501, 502)의 단부가 합류하는 구성으로 되어 있어도 된다. 그 경우에는, 약액 노즐(59) 내의 유로가 유로(501, 502)의 하류측의 합류로를 이룬다.
유로(501, 502)가 합류하는 위치에 대해서 더욱 상세하게 설명하면, 제1 약액과 제2 약액의 혼합액 중에서, 웨이퍼(W)에 공급되기 전에 중합 반응이 진행됨으로써 웨이퍼(W)의 면내에서의 막 두께의 균일성이 낮아지는 것을 방지하기 위해서, 유로(501, 502)가 서로 합류하는 위치는, 웨이퍼(W)의 근방으로 하는 것이 바람직하다. 즉, 제1 약액과 제2 약액이 혼합되고 나서 웨이퍼(W)에 공급될 때까지 비교적 긴 시간이 경과함으로써 중합 반응이 진행되는 것을 방지하고, 또한 각 약액이 합류하는 위치에서, 가열되어 있는 웨이퍼(W)로부터의 열을 받아 중합 반응이 진행되는 것을 방지하는 것을 목적으로, 그러한 합류 위치로 한다.
구체적으로는 예를 들어, 이미 설명한 바와 같이 약액 노즐(59) 내에서 유로(501, 502)가 서로 합류하여, 하방의 약액 노즐(59)의 토출구를 향해서 신장되는 합류로를 형성하는 구성으로 한다. 즉, 약액 노즐(59) 내에서 제1 약액과 제2 약액이 혼합되는 구성으로 한다. 그리고, 이 약액 노즐(59) 내에서의 합류로의 상류단이 웨이퍼(W)의 표면의 근방에 위치하도록, 예를 들어 웨이퍼(W)의 표면으로부터 예를 들어 수 cm 상방으로 이격되도록, 당해 약액 노즐(59)을 웨이퍼(W)로부터 이격해서 배치하여, 각 약액의 혼합액을 토출하는 것이 바람직하다. 그렇게 합류로의 상류단이 웨이퍼(W)의 근방에 배치되어 있기 때문에, 웨이퍼(W)로부터 받는 열의 영향이 억제되어 있어, 이 합류로의 상류단의 온도는 웨이퍼(W)의 온도보다도 낮아, 예를 들어 폴리요소막 형성 모듈(5)이 설치되는 실내의 온도(예를 들어 23℃) 내지 120℃가 됨으로써, 당해 합류로의 상류단에 있어서 중합 반응의 진행이 억제된다. 상기와 같이 합류로의 상류단은 약액 노즐(59)에 설치되어 있기 때문에, 약액 노즐(59)의 온도가 웨이퍼(W)의 온도보다도 낮아지도록, 당해 약액 노즐(59)이 배치되어 온도 제어되어 있게 된다.
도 12 중 30은, 도포, 현상 장치(3)에 설치되는 제어부이며, 이 제어부(30)는, 프로그램, 메모리, CPU를 구비하고 있다. 이 프로그램은, 컴퓨터 기억 매체, 예를 들어 콤팩트 디스크, 하드 디스크, 광자기 디스크 등에 수납되어, 제어부(30)에 인스톨된다. 제어부(30)는, 당해 프로그램에 의해, 도포, 현상 장치(3)의 각 부에 제어 신호를 출력하여, 각 부의 동작을 제어한다. 구체적으로, 이 프로그램은, 도포, 현상 장치(3)에서의 각 반송 기구에 의한 모듈 간에서의 웨이퍼(W)의 반송 및 각 모듈에서의 웨이퍼(W)를 처리하기 위한 동작을 제어하고, 웨이퍼(W)에 대하여 상기 도 1의 (a) 내지 도 2의 (e)에서 설명한 일련의 처리가 실시되도록 스텝 군이 짜여져 있다.
이 도포, 현상 장치(3) 및 노광 장치(D4)로 이루어지는 시스템에서의 웨이퍼(W)의 반송 경로에 대해서 설명한다. 도 1의 (a)에서 설명한 바와 같이 표면에 SiC층(11)을 구비한 웨이퍼(W)가 격납된 캐리어(C)가 캐리어 블록(D1)의 적재대(31)에 적재되고, 반송 기구(33)에 의해 처리 블록(D2)에서의 타워(T1)의 수수 모듈(TRS0)에 반송된다. 이 수수 모듈(TRS0)로부터 웨이퍼(W)는, 수수 아암(39)에 의해, 단위 블록(E1)에 대응하는 수수 모듈(TRS1)(반송 아암(F1)에 의해 웨이퍼(W)의 수수가 가능한 수수 모듈)에 반송된다.
그 후, 웨이퍼(W)는 반송 아암(F1)에 의해, 수수 모듈(TRS1)로부터 폴리요소막 형성 모듈(5)에 반송되어, 도 1의 (b)에 도시한 바와 같이 폴리요소막(12)이 형성된 후, 무기막 형성 모듈(36)에 반송되어 약액이 도포된다. 이어서, 웨이퍼(W)는 가열 모듈(35)에 반송되어 가열되어, 약액 내의 용제가 증발하고, 도 1의 (c)에 도시한 바와 같이 무기막(13)이 형성된다. 계속해서 웨이퍼(W)는, 수수 모듈(TRS1)에 반송되고, 또한 수수 아암(39)에 의해, 단위 블록(E2)에 대응하는 수수 모듈(TRS2)에 반송된다.
계속해서, 웨이퍼(W)는 반송 아암(F2)에 의해, 수수 모듈(TRS2)로부터 웨이퍼(W)는 레지스트막 형성 모듈(37)에 반송되어, 레지스트가 도포된 후, 가열 모듈(35)에 반송되어, 레지스트 중의 용제가 증발하고, 도 2의 (d)에 도시한 바와 같이 레지스트막(14)이 형성된다. 그 후, 웨이퍼(W)는, 타워(T2)의 수수 모듈(TRS21)에 반송되어, 인터페이스 아암(41, 43)에 의해, 타워(T3)를 통해서 노광 장치(D4)에 반입되고, 레지스트막(14)이 소정의 패턴을 따라서 노광된다. 노광 후의 웨이퍼(W)는, 인터페이스 아암(41, 43)에 의해 타워(T2, T4) 사이를 반송되어, 단위 블록(E3)에 대응하는 타워(T2)의 수수 모듈(TRS31)에 반송된다.
그 후, 반송 아암(F3)에 의해, 웨이퍼(W)는 가열 모듈(35)에 반송되어 포스트익스포저 베이크(post-exposure bake)를 받은 후, 현상 모듈(38)에 반송되어 현상액이 공급되고, 도 2의 (e)에 도시한 바와 같이 레지스트 패턴이 형성된다. 그 후, 웨이퍼(W)는 타워(T1)의 단위 블록(E3)에 대응하는 수수 모듈(TRS3)에 반송되어, 반송 기구(33)에 의해 캐리어(C)로 되돌려진다.
계속해서, 도 2의 (f) 내지 도 4의 (j)에서 설명한 무기막(13)에의 패턴의 형성부터 이온 주입을 행할 때까지의 처리를 행하는 진공 처리 장치(6)에 대해서, 도 16의 평면도를 참조하면서 설명한다. 진공 처리 장치(6)는, 그 내부 분위기가 예를 들어 건조한 N2 가스에 의해 상압 분위기로 되는 가로로 긴 상압 반송실(61)을 구비하고, 상압 반송실(61)의 앞쪽으로는, 캐리어(C)를 적재하기 위한 반출입 포트(62)가 좌우 방향으로 배열해서 설치되어 있다. 상압 반송실(61)의 정면 벽에는, 상기 캐리어(C)의 덮개와 함께 개폐되는 도어(63)가 설치되어 있다. 상압 반송실(61) 내에는, 웨이퍼(W)를 반송하기 위한 관절 아암으로 구성된 제1 반송 기구(64)가 설치되어 있다. 또한, 상압 반송실(61)의 반출입 포트(62)측에서 보아 좌측 벽에는, 웨이퍼(W)의 방향이나 편심의 조정을 행하는 얼라인먼트실(65)이 설치되어 있다.
상압 반송실(61)에서의 반출입 포트(62)의 반대측에는, 예를 들어 2개의 로드 로크실(66A, 66B)이 좌우로 나란히 배치되어 있다. 로드 로크실(66A, 66B)과 상압 반송실(61)과의 사이에는, 게이트 밸브(67)가 설치되어 있다. 로드 로크실(66A, 66B)의 상압 반송실(61)측에서 보아 안쪽측에는, 진공 반송실(68)이 게이트 밸브(69)를 통해서 배치되어 있다.
진공 반송실(68)에는, 게이트 밸브(6A)를 통해서, 에칭 처리 모듈(7), 막 치환 모듈(8), 도핑 모듈(9)이 접속되어 있다. 진공 반송실(68)에는, 다관절 아암으로 이루어지는 2개의 반송 아암을 구비한 제2 반송 기구(6B)가 설치되어 있고, 제2 반송 기구(6B)에 의해, 로드 로크실(66A, 66B) 및 상기의 진공 반송실(68)에 접속되는 모듈 간에서 웨이퍼(W)의 수수가 행하여진다.
계속해서, 에칭 처리 모듈(7)에 대해서, 종단 측면도인 도 17을 참조하면서 설명한다. 이 에칭 처리 모듈(7)은, 용량 결합 플라스마를 형성하여, 이미 설명한 각 에칭 처리를 행할 수 있도록 구성되어 있다. 도면 중 71은 접지된 처리 용기이며, 도 16에서 설명한 게이트 밸브(6A)를 통해서 진공 반송실(68)에 접속되어 있다. 처리 용기(71) 내는, 배기 기구(72)에 의해 내부가 배기됨으로써, 원하는 압력의 진공 분위기가 된다.
도면 중 73은 웨이퍼(W)가 적재되는 적재대이며, 웨이퍼(W)를 가열하기 위한 도시하지 않은 히터가 매설되어 있다. 적재대(73)는, 처리 용기(71)의 저면 상에 전기적으로 접속되어 배치되어 있고, 하부 전극으로서의 역할을 하고, 애노드 전극으로서 기능한다. 또한, 적재대(73)는 제2 반송 기구(6B)와의 사이에서 웨이퍼(W)의 수수가 가능하도록, 적재대(73)의 표면에서 돌출 함몰하여, 웨이퍼(W)의 이면을 지지하는 승강 핀을 구비하지만, 도시는 생략하고 있다. 또한, 진공 반송실(68)에 접속되는 다른 모듈에 대해서 설명할 때도, 당해 승강 핀의 도시는 생략한다.
적재대(73)의 상방에는 이 적재대(73)의 상면과 대향하도록, 샤워 헤드(74)가 설치되어 있다. 도면 중 75는 절연 부재이며, 샤워 헤드(74)와 처리 용기(71)를 절연한다. 샤워 헤드(74)에는, 플라스마 발생용 고주파 전원(76)이 접속되어 있고, 샤워 헤드(74)는 캐소드 전극으로서 기능한다. 도면 중 77은 가스 공급부이며, 무기막(13), 폴리요소막(12) 및 레지스트막(14)에 각각 사용되는 에칭 가스를 샤워 헤드(74) 내에 형성되는 확산 공간(78)에 공급한다. 확산 공간(78)에 공급된 에칭 가스는, 샤워 헤드(74)의 토출구로부터 샤워 형상으로 웨이퍼(W)에 공급된다. 이렇게 웨이퍼(W)에 에칭 가스가 공급될 때 고주파 전원(76)이 온으로 되어, 전극 간에 전계가 형성되어 에칭 가스가 플라스마화함으로써, 웨이퍼(W) 표면에서의 막의 에칭이 행하여진다.
이어서, 폴리요소막(12)을 폴리이미드막(18)으로 치환하는 막 치환 모듈(8)에 대해서, 도 18의 종단 측면도를 참조하면서 설명한다. 이 막 치환 모듈(8)은, 에칭 처리 모듈(7)과 마찬가지로, 게이트 밸브(6A)를 통해서 진공 반송실(68)에 접속된, 그 내부가 원하는 압력의 진공 분위기로 되는 처리 용기(81)를 구비하고 있다. 도면 중 82는 당해 진공 분위기를 형성하기 위해서 처리 용기(81) 내를 배기하는 배기 기구이다. 당해 처리 용기(81) 내에는 히터를 구비하는 적재대(83)가 설치되고, 당해 적재대(83)에 적재된 웨이퍼(W)는, 앞서 서술한 온도, 예를 들어 200℃ 내지 300℃로 가열되어, 막의 치환 처리가 행하여진다. 도면 중 84는, PMDA를 포함하는 용액이 수용되는 공급원이며, 이 용액은 공급관(85)에 개재하는 기화기(86)에 의해 기화되어 막 치환용 가스가 되어, 가스 토출부인 샤워 헤드(87)에 도입된다. 샤워 헤드(87)는, 하면에 다수의 토출 구멍이 형성되어 있어, 막 치환용 가스를 웨이퍼(W)에 토출한다.
계속해서, 도핑 모듈(9)에 대해서, 종단 측면도인 도 19를 참조하면서 설명한다. 도면 중 91은 접지된 처리 용기이며, 게이트 밸브(6A)를 통해서 진공 반송실(68)에 접속되어 있다. 도면 중 92는 웨이퍼(W)의 적재대이며, 적재대(92)에는, 웨이퍼(W)를 가열하는 도시하지 않은 히터와 전극(93)이 매설되고, 전극(93)에는, RF(radio frequency) 바이어스용 고주파 전원(93A)이 매칭 유닛(93B)을 통해서 접속되어 있다. 도면 중 94는, 처리 용기(91) 내를 배기하여, 처리 용기(91) 내를 원하는 압력의 진공 분위기로 하기 위한 배기구이다. 또한, 도핑 모듈(9)에는, 플라스마 여기용 불활성 가스 및 예를 들어 BF3(삼불화붕소) 등의 도핑 가스를, 상방으로부터 웨이퍼(W)의 중앙을 향해서 내뿜는 제1 가스 공급부(94)와, 웨이퍼(W)의 외측으로부터 측방을 향해서 내뿜는 제2 가스 공급부(95)가 설치되어 있다.
도면 중 96은 플라스마 여기용 마이크로파를 발생시키는 마이크로파 발생기이며, 매칭(97), 도파관(98), 모드 변환기(99)를 통해서 동축 도파관(101)의 상부에 접속되어 있다. 모드 변환기(99)는, 마이크로파 발생기(96)로부터 공급된 TE 모드의 마이크로파를 TEM 모드로 변환해서 동축 도파관(101)에 공급한다. 이 마이크로파는 동축 도파관(101)으로부터 처리 용기(91)의 천장부를 구성하는 유전체 부재(102)의 중앙부에 공급되어, 당해 유전체 부재(102)를 방사상으로 전파하여, 당해 유전체 부재(103)의 하방에 설치되는 슬롯 안테나판(104)의 도시하지 않은 복수의 슬롯 구멍(관통 구멍)을 통해서 당해 슬롯 안테나판(104)의 하방에 적재대(92)와 대향해서 설치되는 유전체 창(105)에 방사된다. 유전체 창(105)을 투과한 마이크로파는, 당해 유전체 창(105)의 바로 아래에 전계를 발생시켜, 제1 가스 공급부(94) 및 제2 가스 공급부(95)로부터 처리 용기(91) 내에 공급되는 가스를 플라스마화한다. 또한, 도면 중 106은 냉각수의 유로를 구비하는 냉각부이며, 유전체 부재(102) 등의 온도 조정을 행한다.
상기와 같이 유전체 창(105)의 바로 아래에 형성된 플라스마에 포함되는 라디칼 및 이온이 상기 바이어스가 인가된 적재대(92) 상의 웨이퍼(W)에 인입되어, 도핑이 행하여진다. 따라서, 여기서 말하는 도핑이란 이온 주입을 포함한다. 또한, 이온원 내에서 가스나 고체의 증기를 플라스마화하고, 이 플라스마 내의 이온을 끌어낸 후, 질량분석기에 의해 질량 분석을 해서 원하는 이온을 분리하고, 분리된 이온에 의한 이온빔을, 가속관을 통해서 웨이퍼(W)에 조사하는 구성의 이온 주입 장치를 사용해서 이온 주입을 행하도록 해도 된다.
도 16에 도시한 바와 같이, 진공 처리 장치(6)는, 도포, 현상 장치(3)와 마찬가지로 제어부(60)를 구비하고 있고, 이 제어부(60)을 구성하는, 기억 매체에 저장된 프로그램에 의해 진공 처리 장치(6)의 각 부에 제어 신호가 출력되어, 각 부의 동작이 제어된다. 구체적으로, 이 프로그램은, 진공 처리 장치(6) 내에서의 웨이퍼(W)의 반송, 각 모듈에서의 웨이퍼(W)에의 각 가스의 급단, 고주파 전원의 온/오프에 의한 플라스마의 형성, 각 모듈에서의 압력 조정 등의 동작을 제어하여, 웨이퍼(W)에 대하여 상기의, 도 2의 (f) 내지 도 4의 (j)에서 설명한 일련의 처리가 실시되도록 스텝 군이 짜여져 있다.
이 진공 처리 장치(6)의 동작에 대해서 설명한다. 예를 들어 도포, 현상 장치(3)에서 처리 완료된 웨이퍼(W)가 격납된 캐리어(C)가, 도시하지 않은 반송 기구에 의해 진공 처리 장치(6)에 반송되어, 반출입 포트(62) 상에 적재되면, 당해 캐리어(C) 내의 웨이퍼(W)가, 제1 반송 기구(64)에 의해 취출되어, 상압 반송실(61), 얼라인먼트실(65), 로드 로크실(66A)의 순서로 반송된 후, 제2 반송 기구(6B)에 의해, 진공 반송실(68), 에칭 처리 모듈(7)의 순서로 반송된다. 그리고, 도 2의 (f)에서 설명한 바와 같이, 플라스마화한 에칭 가스, 예를 들어 CF4 가스에 의해 무기막(13)이 에칭되어, 당해 무기막(13)에 마스크 패턴이 형성된 후, 도 3의 (g)에서 설명한 바와 같이, 플라스마화한 에칭 가스, 예를 들어 산소 가스에 의해, 폴리요소막(12)의 에칭에 의한 마스크 패턴의 형성 및 레지스트막(14)의 제거가 행하여진다. 그 후, 도 3의 (h)에서 설명한 바와 같이 플라스마화한 에칭 가스, 예를 들어 CF4 가스에 의해 무기막(13)이 에칭되어 제거된다.
그 후, 웨이퍼(W)는 제2 반송 기구(6B)에 의해, 진공 반송실(68), 막 치환 모듈(8)의 순서로 반송되어, 도 3의 (i)에서 설명한 바와 같이 폴리요소막(12)이 폴리이미드막(18)으로 치환된다. 그 후, 웨이퍼(W)가 제2 반송 기구(6B)에 의해, 진공 반송실(68), 도핑 모듈(9)의 순서로 반송되어, 도 4의 (j)에서 설명한 이온 주입이 행하여진다. 계속해서, 웨이퍼(W)는 제2 반송 기구(6B)에 의해, 진공 반송실(68), 로드 로크실(66B)의 순서로 반송되어, 제1 반송 기구(64)에 의해 캐리어(C)로 되돌려진다.
그런데, 폴리요소막(12)의 에칭 후의 무기막(13)의 제거는, 습식 에칭에 의해 행하여져도 되고, 무기막(13), 폴리요소막(12)의 형성에 대해서는 액 처리가 아니라, CVD에 의해 행해도 된다. 이 CVD로서는 예를 들어, 상기 막 치환 모듈(8)과 마찬가지로, 진공 분위기에서 웨이퍼(W)를 가열함과 함께 웨이퍼(W)에 가스를 공급할 수 있는 구성의 CVD 모듈을 진공 처리 장치(6)의 진공 반송실(68)에 접속해서 행할 수 있다. 또한, 무기막(13)의 에칭에 대해서는 상압 분위기 하에서의 습식 에칭에 의해 행해도 된다.
폴리요소막(12)을 CVD에 의해 형성하는 경우, 이 성막을 행하는 CVD 모듈로서는 예를 들어 막 치환 모듈(8)과 대략 마찬가지의 구성으로 된다. 이 CVD 모듈에 대해서, 막 치환 모듈(8)과의 차이점의 예를 들면, 각각 별도의 원료 공급원(84)에 저류된 예를 들어 액체의 상태의 이소시아네이트 및 아민이, 각 원료 공급원(84)에 접속된 공급관(85)에 공급되고, 각 공급관(85)에 개재 설치된 기화기(86)에서 기화되어, 샤워 헤드(87)에 공급된다. 즉, 이소시아네이트 및 아민이 각각 별도의 기화기(86)에서 기화되어, 각각 별도의 공급관(85)을 통해서 샤워 헤드(87)에 공급된다. 그리고, 샤워 헤드(87)는, 예를 들어 각 공급관(85)으로부터 공급된 이소시아네이트의 증기, 아민의 증기가 당해 샤워 헤드(87) 내에 형성된 각각 별도의 유로를 통과해서 적재대(83)의 웨이퍼(W)에 토출되도록 구성된다. 이렇게 토출된 각 증기 중의 이소시아네이트와 아민이 웨이퍼(W) 상에서 중합하여, 폴리요소막(12)이 형성된다.
이렇게 폴리요소막(12), 무기막(13)을 진공 처리로 형성해도 되지만, 그 후에 행하여지는 레지스트막(14)의 형성과 마찬가지로 상압 분위기 하에서 액 처리에 의해 행함으로써, 상기와 같이 도 1의 (a) 내지 도 2의 (e)에서 설명한 일련의 처리를, 도포, 현상 장치(3) 내에서 행할 수 있다. 또한, 무기막(13)의 제거에 대해서는, 그 전후의 처리인 폴리요소막(12)의 에칭 및 폴리이미드막(18)으로의 치환과 마찬가지로 진공 분위기 하에서 건식 에칭에 의해 행함으로써, 도 1의 (a) 내지 도 2의 (e)에서 설명한 일련의 처리를, 진공 처리 장치(6) 내에서 행할 수 있다. 즉, 도포, 현상 장치(3), 진공 처리 장치(6)는, 도 1의 (a) 내지 도 4의 (j)의 처리를 행하는 데 있어서, 캐리어(C)와 웨이퍼(W)와의 반송 횟수 및 장치 간에서의 캐리어(C)의 반송 횟수를 억제하여, 처리에 요하는 시간을 억제할 수 있는 구성으로 되어 있다.
[제2 실시 형태]
계속해서 본 발명의 반도체 장치의 제조 방법에서의 제2 실시 형태에 대해서 설명한다. 이 제2 실시 형태는, 웨이퍼(W)에 형성된 피 에칭막에 마스크를 통해서 에칭을 행함으로써 패턴을 형성하는 처리이며, 이 마스크는 폴리요소막(12)으로부터 폴리이미드막(18)으로의 치환에 의해 형성된다. 이후, 도 20의 (a) 내지 도 21의 (e)를 참조하면서, 제1 실시 형태와의 차이점을 중심으로 설명한다.
도 20의 (a)에는, Si층(111), 피 에칭막(112), 폴리요소막(12), 무기막(13), 레지스트막(14)이 상방을 향해서 이 순서로 적층된 웨이퍼(W)를 나타내고 있고, 레지스트막(14)에는 개구부(15)로서 레지스트 패턴이 형성되어 있다. 또한, 피 에칭막(112)은, 예를 들어 폴리실리콘, SiO2(산화 실리콘), Si(실리콘), Al(알루미늄) 또는 TiN(질화티타늄)에 의해 구성되고, 상기 CVD나 약액의 도포 및 약액 도포 후의 가열에 의해 형성할 수 있다.
도 20의 (a)에 나타내는 상태로부터, 제1 실시 형태와 마찬가지로, 레지스트막(14)을 마스크로 한 무기막(13)의 에칭을 행하고(도 20의 (b)), 레지스트막(14)의 제거와 무기막(13)을 마스크로 한 폴리요소막(12)의 에칭을 행한 후(도 21의 (c)), 폴리요소막(12)을 폴리이미드막(18)으로 치환한다(도 21의 (d)). 그 후, 폴리이미드막(18)을 마스크로 해서, 하층 막을 이루는 피 에칭막(112)을 에칭한다(도 21의 (e)). 폴리이미드막(18)은 에칭 내성이 비교적 높으므로, 에칭에 의해 형성되는 패턴인 개구부(113)가, Si층(111)에 달하도록 에칭을 행할 수 있다. 또한, 이상의 처리에 대해서는, 제1 실시 형태에서 설명한 진공 처리 장치(6) 내에서 웨이퍼(W)를 반송하고, 에칭 모듈(7)에서는 피 에칭막에 따른 적절한 에칭 가스를 선택함으로써 행할 수 있다.
도 22는 비교예로서, 도 21의 (c)에 나타낸 폴리요소막(12)의 에칭 후, 폴리이미드막(18)으로의 치환을 행하지 않고, 당해 폴리요소막(12)을 마스크로 해서 에칭을 행했을 때의 웨이퍼(W)의 상태를 나타내고 있다. 폴리요소막(12)은 폴리이미드막(18)에 비해 에칭 내성이 낮으므로, 개구부(113)가 Si층(111)에 달하기 전에 당해 폴리요소막(12)이 소실되어버린다. 즉, 폴리이미드막(18)으로의 치환을 행하지 않고 개구부(113)가 Si층(111)에 달하도록 에칭을 행하는 경우에는, 폴리요소막(12) 상에 폴리요소막(12)과는 상이한 재질의 마스크를 적층할 필요가 있다. 바꿔 말하면, 상기 발명의 제2 실시 형태의 방법에 의하면, 그러한 마스크를 형성할 필요가 없다는 것이다. 더욱 상세하게 설명하면, 제2 실시 형태의 처리에서는, 그러한 마스크가 되는 막을 웨이퍼(W) 상에 성막하는 것, 및 성막한 막을 에칭해서 마스크 패턴을 형성하는 것을 필요로 하지 않는다는 것이다.
따라서, 제2 실시 형태에 의하면, 피 에칭막(112)의 에칭을 간이하게 행할 수 있다는 이점이 있다. 또한, 제2 실시 형태에서는 제1 실시 형태와 마찬가지로, 폴리요소막(12)에 패턴을 형성한 후, 당해 폴리요소막(12)을 치환함으로써 폴리이미드막(18)을 형성하고 있기 때문에, 반도체 장치의 배선의 미세화를 도모할 수 있다. 또한, 상기 피 에칭막(112)으로서는 예를 들어 low-K라고 불리는 다공질의 층간 절연막에 의해 구성할 수도 있다. 이 층간 절연막은 예를 들어 SiOC에 의해 구성된다. 그러나, 이 층간 절연막은, 에칭 처리에 있어서 에칭 가스 또는 에칭 가스의 플라스마에 노출되면 대미지를 받아, 막의 유전율이 변화해버린다. 폴리요소막(12)으로부터 폴리이미드막(18)으로의 치환은 에칭 처리에 의하지 않고 행할 수 있으므로, 제2 실시 형태의 방법에 의하면, 피 에칭막(112)으로서 층간 절연막에 개구부(113)를 형성하는 데 있어서, 당해 층간 절연막이 상기 에칭 가스나 플라스마에 노출되는 것을 억제하여, 층간 절연막에의 대미지를 억제하고, 막의 유전율의 변화를 억제할 수 있다는 이점이 있다.
그런데, 도 7에서 설명한 바와 같이, 막의 치환 처리에 있어서 폴리요소막(12) 중의 폴리요소의 폴리이미드(23)로의 치환은, 막의 표층으로부터 내부를 향해서 진행된다. 따라서, 처리 시간이나 웨이퍼(W)의 온도 등, 이 치환 처리를 행하는 조건을 적절하게 설정함으로써, 도 23의 (a)에 도시하는 바와 같이 폴리요소막(12)의 표층만 폴리이미드로 치환된 상태에서, 이 치환 처리를 정지하는 것이 가능하다. 더 자세하게 설명하면, 폴리요소막(12)의 측방 및 상층을 폴리이미드막(18)이 덮는 막 구조를 형성할 수 있다. 이 도 23의 (a)는, 도 7의 (g)에 나타내는 상태로부터 가열 처리가 정지되고, 잔류하고 있던 원료 단량체(22)가 요소 결합(21)을 형성한 상태를 나타내고 있다.
이 막 구조를 형성한 후에 에칭 처리를 행하여, 도 23의 (b)에 도시하는 바와 같이 웨이퍼(W)의 표면에 폴리요소막(12)을 노출시킨다. 그 후, 폴리요소막(12)이 해중합에 의해 제거되고, 또한 폴리이미드막(18)은 분해하지 않고 웨이퍼(W)에 잔류하는 온도로 웨이퍼(W)를 가열한다. 그에 의해, 도 23의 (c)에 도시하는 바와 같이 미세한 패턴을 구비한 폴리이미드막(18)을 형성할 수 있고, 이 폴리이미드막(18)을 마스크로 해서, 이미 설명한 이온 주입이나 에칭을 행할 수 있다. 또한, 본 발명은 앞서 서술한 각 실시 형태에 한정되지 않는다. 각 실시 형태에서 나타내는 예는 적절히 변경하거나, 서로 조합하거나 하는 것이 가능하다.
[평가 시험]
이하, 본 발명에 관련해서 행하여진 평가 시험에 대해서 설명한다.
·평가 시험 1
기판의 표면에 폴리요소막을 성막하였다. 그 후, 발명의 실시 형태에서 설명한 바와 같이, 이 기판을 가열함과 함께 PMDA로 이루어지는 막 치환용 가스를 기판에 공급하여, 막의 치환 처리를 행하였다. 이 막의 치환 처리에 있어서, 기판의 가열 온도는 250℃, 가열 시간은 30분으로 하였다. 이 막의 치환 처리 후, 잔류하는 폴리요소를 제거하기 위해서 기판을 350℃에서 5분간 가열하여 어닐 처리하였다. 상기 폴리요소막의 성막 후이며 막의 치환 처리 전, 막의 치환 처리 후이며 어닐 처리 전, 어닐 처리 후의 각 타이밍에서, 적외 분광법에 의해 기판의 표면의 적외 흡수 스펙트럼을 취득하였다.
도 24의 그래프는 평가 시험 1의 결과를 나타내고 있고, 폴리요소막의 성막 후이며 막의 치환 처리 전, 막의 치환 처리 후이며 어닐 처리 전, 어닐 처리 후에 취득한 스펙트럼의 파형을, 일점 쇄선, 점선, 실선으로 각각 나타내고 있다. 일점 쇄선의 파형에서는 파수가 1500cm-1 내지 1700cm-1의 범위 내에, 폴리요소의 존재를 나타내는 피크(PU로서 표시하고 있음)가 보인다. 점선의 파형 및 실선의 파형에서는 상기 폴리요소의 존재를 나타내는 피크가 보이지 않고, 파수가 1700cm-1 내지 1800cm-1의 범위 내에, 폴리이미드의 존재를 나타내는 피크(PI로서 표시하고 있음)가 보인다. 따라서, 이 평가 시험 1의 결과로부터, 발명의 실시 형태에서 설명한 방법에 의해, 폴리요소막을 폴리이미드막으로 치환할 수 있음이 확인되었다.
·평가 시험 2
기판의 표면에 폴리요소막을 성막한 후, PMDA를 포함하는 막 치환용 가스를 기판에 공급해서 막의 치환 처리를 행하고, 그 후, 기판을 350℃에서 5분간 가열해서 어닐 처리하였다. 막의 치환 처리에 대해서는 기판마다 서로 다른 가열 온도에서 15분간 행하였다. 가열 온도를 230℃, 240℃, 250℃, 260℃, 270℃로 한 것을 각각 평가 시험 2-1, 2-2, 2-3, 2-4, 2-5로 한다. 평가 시험 2-1 내지 2-5의 기판에 대해서, 막의 치환 처리 후이며 어닐 처리 전의 타이밍, 어닐 처리 후의 타이밍에서 각각 표면에서의 적외 흡수 스펙트럼을 취득하였다. 또한, 이들 각 타이밍에 있어서 기판의 표면에서의 막의 막 두께를 취득하였다. 또한, 비교 시험으로서, 막의 치환 처리를 행하지 않는 것 외에는, 평가 시험 2-1 내지 2-5와 마찬가지의 시험을 행하였다. 그리고, 어닐 처리 전의 타이밍, 어닐 처리 후의 타이밍에서 각각 기판의 표면의 막의 막 두께를 취득하였다.
도 25, 도 26의 그래프 및 하기의 표 1은, 이 평가 시험 2의 결과를 나타내고 있다. 도 25, 도 26의 그래프는, 비교 시험, 평가 시험 2-1, 평가 시험 2-3, 평가 시험 2-5의 기판으로부터 취득된 스펙트럼을 각각, 실선, 점선, 일점 쇄선, 이점 쇄선으로 각각 나타내고 있고, 도 25는 어닐 처리 전의 기판으로부터 취득된 스펙트럼이며, 도 26은 어닐 처리 후의 기판으로부터 취득된 스펙트럼이다. 도시의 편의상, 평가 시험 2-2, 2-4의 스펙트럼의 파형의 표시는 생략하고 있다.
도 25의 어닐 처리 전의 스펙트럼의 파형을 보면, 비교 시험의 파형에서는, 파수가 1500cm-1 내지 1700cm-1의 범위 내에서 폴리요소의 존재를 나타내는 비교적 큰 피크가 보인다. 이 피크는 평가 시험 2-1 내지 2-4의 파형에서도 보이는데, 평가 시험 2-1, 2-2, 2-3, 2-4의 순으로 작다. 즉, 막의 치환 처리 시의 온도가 클수록 피크는 작고, 평가 시험 2-5의 파형에서는 당해 피크는 보이지 않았다. 또한, 비교 시험의 파형에서는 파수가 1700cm-1 내지 1800cm-1의 범위 내에서 폴리이미드의 존재를 나타내는 피크는 보이지 않지만, 평가 시험 2-1 내지 2-5에서는 당해 피크가 보이고, 막의 치환 처리 시의 온도가 클수록 이 피크가 크다.
도 26의 어닐 처리 후의 스펙트럼의 파형을 보면, 파수가 1700cm-1 내지 1800cm-1의 범위 내에서 폴리이미드의 존재를 나타내는 피크는 보이지 않지만, 평가 시험 2-1 내지 2-5에서는 당해 피크가 보이고, 막의 치환 처리 시의 온도가 클수록 이 피크가 크다. 따라서, 스펙트럼으로부터 치환 처리의 온도를 230℃ 내지 270℃의 범위로 함으로써 폴리요소로부터 폴리이미드로의 치환을 행할 수 있고, 이 온도 범위에서는 온도가 높을수록 막의 치환이 진행되는 것을 알 수 있다. 또한, 막 치환 처리 후, 350℃ 이상으로 가열함으로써 잔류하는 폴리요소를 제거할 수 있음을 알 수 있다. 또한, 표 1에 나타낸 바와 같이 어닐 처리 전의 막 두께, 즉, 폴리요소막(12)의 막 두께는 평가 시험 2-1 내지 2-5의 각 기판에서 대략 동일했지만, 치환 처리의 온도가 높을수록 어닐 처리 후의 막 두께, 즉, 폴리이미드의 막 두께가 큰 결과로 되어 있다. 이렇게 스펙트럼에서 나타나는 시험 결과와 막 두께의 변화의 결과가 서로 정합하고 있다.
Figure 112018024904259-pat00001
·평가 시험 3
평가 시험 3으로서 Si층(111), 층간 절연막인 피 에칭막(114), 폴리요소막(12), Si를 주성분으로 하는 반사 방지막인 무기막(13), 레지스트막(14)이 상방을 향해서 이 순서로 적층된 기판에 레지스트 패턴을 형성하고, 레지스트막(14)을 마스크로 해서 무기막(13)을 에칭해서 마스크 패턴을 형성했다(도 27의 (a)). 그리고, 무기막(13)을 마스크로 해서 폴리요소막(12)을 에칭해서 마스크 패턴을 형성함과 함께 무기막(13) 상의 레지스트막(14)을 제거하였다. 그 후, 무기막(13)을 마스크로 해서 피 에칭막(114)을 에칭해서 패턴을 형성함과 함께 무기막(13)을 제거했다(도 27의 (b)).
그 후, 260℃에서 기판을 60분 가열함과 함께 PMDA를 포함하는 막 치환용 가스를 기판에 공급하여, 막의 치환 처리를 행했다(도 27의 (c)). 그 후, 350℃에서 5분간 가열하는 어닐 처리를 행하여, 잔류하는 폴리요소를 제거하였다. 이 일련의 처리 중에 있어서, 막 치환 처리 후이며 어닐 처리 전의 타이밍, 어닐 처리 후의 타이밍에서 각각 기판의 표면의 적외 흡수 스펙트럼을 취득하였다. 또한, 비교 시험 4-1로서, 피 에칭막(114)을 에칭할 때까지는 평가 시험 4와 동일한 처리를 행하고, 이 에칭 후에 적외 흡수 스펙트럼을 취득하였다. 또한, 비교 시험 4-2로서, 막의 치환 처리를 행하지 않는 것을 제외하고는 평가 시험 4와 마찬가지의 처리를 행하여, 어닐 처리 후에 기판의 표면의 적외 흡수 스펙트럼을 취득하였다.
도 28의 그래프에 있어서, 점선의 파형이 막의 평가 시험 3에서 치환 처리 후에 취득된 스펙트럼, 일점 쇄선의 파형이 평가 시험 4에서 어닐 처리 후에 취득된 스펙트럼, 실선의 파형이 비교 시험 3-1에서 취득된 스펙트럼, 이점 쇄선의 파형이 비교 시험 3-2에서 취득된 스펙트럼을 각각 나타내고 있다. 비교 시험 3-1의 스펙트럼의 파형에서는 파수가 1500cm-1 내지 1700cm-1의 범위 내에 폴리요소의 존재를 나타내는 피크가 보이지만, 파수가 1700cm-1 내지 1800cm-1의 범위 내에 폴리이미드의 존재를 나타내는 피크는 보이지 않는다. 비교 시험 3-2의 파형에서는, 폴리요소의 존재를 나타내는 피크, 폴리이미드의 존재를 나타내는 피크는, 모두 보이지 않는다. 평가 시험 3의 어닐 처리 전의 파형에 대해서는, 상기 폴리요소의 존재를 나타내는 피크가 약간 보이고, 상기 폴리이미드의 존재를 나타내는 피크가 보인다. 평가 시험 3의 어닐 처리 후의 파형에 대해서는, 상기 폴리요소의 존재를 나타내는 피크는 보이지 않고, 상기 폴리이미드의 존재를 나타내는 피크가 보인다. 따라서,이 평가 시험 3으로부터는 평가 시험 2의 결과와 마찬가지로, 260℃로 기판을 가열함으로써 막의 치환 처리를 행할 수 있는 것, 및 치환 처리 후 350℃ 이상의 가열로 폴리요소를 제거할 수 있는 것을 알 수 있다.
·평가 시험 4
아민으로서 H6XDA를 기화시켜 생성한 증기, 이소시아네이트로서 H6XDI를 기화시켜 생성한 증기를 웨이퍼(W)에 공급해서 폴리요소막(12)을 형성하였다. 단, 이 평가 시험 5에서는, 웨이퍼(W)의 일단측으로부터 타단측을 향해서 수평 방향으로 각 증기가 공급되는 CVD 모듈을 사용해서 성막을 행하였다. H6XDA로서는 85℃로 가열하고, 기화량은 0.3g/분으로 하였다. H6XDI로서는 110℃로 가열하고, 기화량은 0.1g/분으로 하였다. 이들 증기의 웨이퍼(W)에의 공급은 300초간 행하고, 진공 용기 내의 압력은 0.2Torr(26.67Pa)로 하였다. 또한, 증기의 공급 중에서의 웨이퍼(W)의 온도는 처리를 행할 때마다 변경하고 있으며, 80℃, 70℃ 또는 60℃로 설정하였다. 성막이 행하여진 웨이퍼(W)에 대해서는, 면내의 각 부에 형성된 폴리요소막(12)의 막 두께를 측정하였다.
웨이퍼(W)의 온도가 80℃일 경우에 있어서, 막 두께의 평균값은 54nm, 최댓값은 65nm, 최솟값은 40nm, 1σ는 13%이었다. 웨이퍼(W)의 온도가 70℃일 경우에 있어서, 막 두께의 평균값은 144nm, 최댓값은 188nm, 최솟값은 92nm, 1σ는 20%이었다. 웨이퍼(W)의 온도가 60℃일 경우에 있어서, 막 두께의 평균값은 297nm, 최댓값은 468nm, 최솟값은 142nm, 1σ는 34%이었다. 이상과 같이, 이 평가 시험 5로부터는, 웨이퍼(W)에 대하여 아민의 증기 및 이소시아네이트의 증기를 공급함으로써, 폴리요소막(12)을 형성하는 것이 가능한 것으로 확인되었다.
·평가 시험 5
아민인 H6XDA를 아세톤에 첨가해서 제1 약액을, 이소시아네이트인 H6XDI를 아세톤에 첨가해서 제2 약액을 각각 조제하였다. 그리고, 이들 약액을 서로 혼합해서 혼합 용액으로서 조제한 직후에, 1500rpm으로 회전하는 기판에 스핀 코팅하였다. 그 후, 형성된 막의 중량과 막 두께를 측정하였다. 제1 약액, 제2 약액 내의 H6XDA, H6XDI의 농도는, 도포를 행할 때마다 변경하고, 서로 동일한 농도의 제1 약액, 제2 약액을 혼합해서 혼합 용액을 조제하였다.
상기 시험의 결과, 농도가 20wt%일 때 중량이 7.7mg, 막 두께가 3.6㎛이며, 농도가 5wt%일 때 중량이 1.7mg, 막 두께가 0.7㎛이며, 농도가 2.5wt%일 때 중량이 1.1mg, 막 두께가 0.5㎛이며, 농도가 2.0wt%일 때 중량이 0.8mg, 막 두께가 0.3㎛이며, 농도가 1.0wt%일 때 중량이 0.3mg, 막 두께가 0.1㎛이었다. 이 평가 시험 5의 결과로부터, 스핀 코팅에 의해 폴리요소막을 성막할 수 있음이 확인되었다.
W : 웨이퍼 12 : 폴리요소막
13 : 무기막 14 : 레지스트막
18 : 폴리이미드막 3 : 도포, 현상 장치
5 : 폴리요소막 형성 모듈 6 : 진공 처리 장치
7 : 에칭 처리 모듈 8 : 막 치환 모듈
9 : 도핑 모듈

Claims (10)

  1. 기판에 대하여 처리를 행하여, 반도체 장치를 제조하는 방법에 있어서,
    상기 기판의 표면에 중합용 원료를 공급하여, 요소 결합을 갖는 중합체로 이루어지는 제1 막을 형성하는 공정과,
    계속해서, 상기 제1 막을 에칭해서 패턴을 형성하는 공정과,
    계속해서, 상기 기판을 가열해서 상기 중합체를 해중합함과 함께 당해 기판에 상기 해중합에 의해 얻어지는 상기 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급하고, 상기 제1 막을 치환하도록 당해 제1 막과는 상이한 재질에 의해 구성되는 제2 막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 제2 막을 형성하는 공정은, 상기 기판을 200℃ 내지 300℃로 가열해서 행하여지는 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 제2 막을 마스크로 해서, 당해 제2 막의 하층에 설치되는 하층 막에 대하여 에칭 또는 이온 주입을 행하는 공정을 포함하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 제2 막은 폴리이미드에 의해 구성되는 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 제1 막을 형성하는 공정은, 이소시아네이트의 액체와 아민의 액체를 상기 기판에 공급함과 함께, 가열된 당해 기판의 표면에서 상기 이소시아네이트와 아민을 중합 반응시키는 공정인 반도체 장치의 제조 방법.
  6. 제5항에 있어서,
    상기 이소시아네이트의 액체와 아민의 액체를 상기 기판에 공급하는 공정은,
    이소시아네이트의 액체가 저류되는 제1 공급원으로부터 제1 유로에 이소시아네이트의 액체를 공급하는 공정과,
    아민의 액체가 저류되는 제2 공급원으로부터 제2 유로에 당해 아민의 액체를 공급하는 공정과,
    상기 제1 유로의 하류측과 상기 제2 유로의 하류측이 합류해서 형성되는 합류로에 상기 이소시아네이트의 액체와 상기 아민의 액체를 공급하고, 이들 액체를 혼합하여, 노즐로부터 상기 기판에 토출하는 공정을 포함하는 반도체 장치의 제조 방법.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 제1 막을 형성하는 공정은, 이소시아네이트의 증기와 아민의 증기를 상기 기판에 공급함과 함께 당해 기판을 가열해서 이소시아네이트와 아민을 중합 반응시키는 공정인 반도체 장치의 제조 방법.
  8. 요소 결합을 갖는 중합체로 이루어지는 제1 막과 당해 제1 막 상에 형성된 레지스트 패턴을 구비하는 레지스트막을 표면에 구비하는 기판에 대하여, 진공 분위기에서 에칭을 행하여 상기 레지스트 패턴에 대응하는 패턴을 제1 막에 형성하는 에칭 처리 모듈과,
    상기 기판을 가열해서 상기 중합체를 해중합함과 함께 당해 기판에 상기 해중합에 의해 얻어지는 중합용 원료와 반응해서 생성물을 발생하는 반응 가스를 공급하여, 상기 제1 막을 치환하도록 당해 제1 막과는 상이한 재질의 제2 막을 형성하는 치환 모듈을 포함하는 진공 처리 장치.
  9. 제8항에 있어서,
    상기 치환 모듈은, 상기 기판을 200℃ 내지 300℃로 가열한 상태에서 당해 기판에 상기 반응 가스를 공급하는 진공 처리 장치.
  10. 제8항 또는 제9항에 있어서,
    상기 제2 막의 하층에 설치되는 하층 막에 대하여, 당해 제2 막을 마스크로 해서 에칭 또는 이온 주입을 행하기 위한 처리 모듈이 설치되는 진공 처리 장치.
KR1020180028952A 2017-03-15 2018-03-13 반도체 장치의 제조 방법 및 진공 처리 장치 KR102270547B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017050181A JP6809315B2 (ja) 2017-03-15 2017-03-15 半導体装置の製造方法及び真空処理装置
JPJP-P-2017-050181 2017-03-15

Publications (2)

Publication Number Publication Date
KR20180105579A KR20180105579A (ko) 2018-09-28
KR102270547B1 true KR102270547B1 (ko) 2021-06-28

Family

ID=63519595

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180028952A KR102270547B1 (ko) 2017-03-15 2018-03-13 반도체 장치의 제조 방법 및 진공 처리 장치

Country Status (5)

Country Link
US (1) US10629448B2 (ko)
JP (1) JP6809315B2 (ko)
KR (1) KR102270547B1 (ko)
CN (1) CN108630530B (ko)
TW (1) TWI720294B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6809315B2 (ja) * 2017-03-15 2021-01-06 東京エレクトロン株式会社 半導体装置の製造方法及び真空処理装置
JP7433016B2 (ja) * 2019-10-28 2024-02-19 東京エレクトロン株式会社 基板処理方法および基板処理システム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470945A (en) * 1990-02-05 1995-11-28 Battelle Memorial Institute Thermally reversible isocyanate-based polymers
US5097010A (en) * 1990-02-05 1992-03-17 Battelle Memorial Institute Thermally-reversible isocyanate polymers
JPH09249851A (ja) * 1996-03-15 1997-09-22 Ulvac Japan Ltd 高分子薄膜の低比誘電率化方法及び層間絶縁膜の形成方法
JP3481395B2 (ja) * 1996-06-05 2003-12-22 株式会社アルバック 層間絶縁膜の形成方法
US5851261A (en) * 1996-12-30 1998-12-22 Bayer Corporation Process for the production of polyurea encapsulated fertilizer particles and the encapsulated fertilizer particles produced by this process
TW473653B (en) * 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
JP4053631B2 (ja) * 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
DE69930832T2 (de) * 1998-07-10 2006-11-30 Az Electronic Materials Usa Corp. Benutzung einer zusammensetzung für eine antireflexunterschicht
US8252113B2 (en) * 2005-03-24 2012-08-28 Ulvac, Inc. Method for producing component for vacuum apparatus, resin coating forming apparatus and vacuum film forming system
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
WO2009088039A1 (ja) * 2008-01-11 2009-07-16 Nissan Chemical Industries, Ltd. ウレア基を有するシリコン含有レジスト下層膜形成組成物
US8207028B2 (en) * 2008-01-22 2012-06-26 International Business Machines Corporation Two-dimensional patterning employing self-assembled material
JP4476336B2 (ja) * 2008-02-28 2010-06-09 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5515419B2 (ja) * 2009-05-26 2014-06-11 日立化成デュポンマイクロシステムズ株式会社 ポジ型感光性樹脂組成物、該樹脂組成物を用いたパターン硬化膜の製造方法並びに電子部品及びその製造方法
JP5708500B2 (ja) * 2010-01-29 2015-04-30 Jsr株式会社 感放射線性樹脂組成物
KR101772038B1 (ko) * 2013-03-15 2017-08-28 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
JP6154065B2 (ja) * 2014-03-31 2017-06-28 富士フイルム株式会社 感光性樹脂組成物、平版印刷版原版及び平版印刷版の作製方法
CN106233207B (zh) * 2014-04-25 2020-04-10 日产化学工业株式会社 抗蚀剂下层膜形成用组合物以及使用该组合物的抗蚀剂图案的形成方法
JP6239466B2 (ja) * 2014-08-15 2017-11-29 東京エレクトロン株式会社 半導体装置の製造方法
KR102021484B1 (ko) * 2014-10-31 2019-09-16 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
JP6404757B2 (ja) * 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
JP6763325B2 (ja) * 2017-03-10 2020-09-30 東京エレクトロン株式会社 半導体装置の製造方法、基板処理装置及び真空処理装置
JP6809315B2 (ja) * 2017-03-15 2021-01-06 東京エレクトロン株式会社 半導体装置の製造方法及び真空処理装置
JP6792788B2 (ja) * 2017-03-30 2020-12-02 東京エレクトロン株式会社 半導体装置の製造方法
JP6718406B2 (ja) * 2017-03-31 2020-07-08 信越化学工業株式会社 レジスト下層膜材料、パターン形成方法、及びレジスト下層膜形成方法
JP6853716B2 (ja) * 2017-03-31 2021-03-31 信越化学工業株式会社 レジスト下層膜材料、パターン形成方法、及びレジスト下層膜形成方法
US10514605B2 (en) * 2017-08-04 2019-12-24 International Business Machines Corporation Resist multilayer film-attached substrate and patterning process
JP6926939B2 (ja) * 2017-10-23 2021-08-25 東京エレクトロン株式会社 半導体装置の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers

Also Published As

Publication number Publication date
TW201903894A (zh) 2019-01-16
KR20180105579A (ko) 2018-09-28
US10629448B2 (en) 2020-04-21
TWI720294B (zh) 2021-03-01
JP6809315B2 (ja) 2021-01-06
CN108630530B (zh) 2023-02-28
CN108630530A (zh) 2018-10-09
JP2018156982A (ja) 2018-10-04
US20180269069A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR102268929B1 (ko) 반도체 장치의 제조 방법
KR102312761B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 진공 처리 장치
JP6610812B2 (ja) 半導体装置の製造方法、真空処理装置及び基板処理装置
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
KR20070049671A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TW201831990A (zh) 半導體裝置之製造方法
KR102270547B1 (ko) 반도체 장치의 제조 방법 및 진공 처리 장치
KR20190046638A (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP6696491B2 (ja) 半導体装置の製造方法及び真空処理装置
US20200152478A1 (en) Device manufacturing method
KR20190045072A (ko) 반도체 장치의 제조 방법
US20200270392A1 (en) Composite for film formation and film forming method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant