KR102021484B1 - 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법 - Google Patents

막 구조물 제조 방법, 막 구조물, 및 패턴형성방법 Download PDF

Info

Publication number
KR102021484B1
KR102021484B1 KR1020140150602A KR20140150602A KR102021484B1 KR 102021484 B1 KR102021484 B1 KR 102021484B1 KR 1020140150602 A KR1020140150602 A KR 1020140150602A KR 20140150602 A KR20140150602 A KR 20140150602A KR 102021484 B1 KR102021484 B1 KR 102021484B1
Authority
KR
South Korea
Prior art keywords
composition
organic layer
organic
layer
monomethyl ether
Prior art date
Application number
KR1020140150602A
Other languages
English (en)
Other versions
KR20160051143A (ko
Inventor
김민수
송현지
강선혜
김성민
김성환
김영민
김윤준
김혜정
남연희
백재열
윤벼리
윤용운
이충헌
정슬기
조연희
홍승희
황선민
황원종
이송세
김명구
유내리
Original Assignee
삼성에스디아이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성에스디아이 주식회사 filed Critical 삼성에스디아이 주식회사
Priority to KR1020140150602A priority Critical patent/KR102021484B1/ko
Priority to US14/740,456 priority patent/US10312074B2/en
Priority to CN201510426921.9A priority patent/CN105575775B/zh
Priority to TW104125505A priority patent/TWI594295B/zh
Publication of KR20160051143A publication Critical patent/KR20160051143A/ko
Application granted granted Critical
Publication of KR102021484B1 publication Critical patent/KR102021484B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G10/00Condensation polymers of aldehydes or ketones with aromatic hydrocarbons or halogenated aromatic hydrocarbons only
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G12/00Condensation polymers of aldehydes or ketones with only compounds containing hydrogen attached to nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0666Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0672Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms with only one nitrogen atom in the ring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/12Copolymers
    • C08G2261/124Copolymers alternating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/13Morphological aspects
    • C08G2261/135Cross-linked structures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/10Definition of the polymer structure
    • C08G2261/14Side-groups
    • C08G2261/142Side-chains containing oxygen
    • C08G2261/1422Side-chains containing oxygen containing OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/31Monomer units or repeat units incorporating structural elements in the main chain incorporating aromatic structural elements in the main chain
    • C08G2261/314Condensed aromatic systems, e.g. perylene, anthracene or pyrene
    • C08G2261/3142Condensed aromatic systems, e.g. perylene, anthracene or pyrene fluorene-based, e.g. fluorene, indenofluorene, or spirobifluorene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/322Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain non-condensed
    • C08G2261/3221Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain non-condensed containing one or more nitrogen atoms as the only heteroatom, e.g. pyrrole, pyridine or triazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/32Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain
    • C08G2261/324Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed
    • C08G2261/3241Monomer units or repeat units incorporating structural elements in the main chain incorporating heteroaromatic structural elements in the main chain condensed containing one or more nitrogen atoms as the only heteroatom, e.g. carbazole
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/34Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain
    • C08G2261/342Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms
    • C08G2261/3424Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing only carbon atoms non-conjugated, e.g. paracyclophanes or xylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/30Monomer units or repeat units incorporating structural elements in the main chain
    • C08G2261/34Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain
    • C08G2261/344Monomer units or repeat units incorporating structural elements in the main chain incorporating partially-aromatic structural elements in the main chain containing heteroatoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/70Post-treatment
    • C08G2261/76Post-treatment crosslinking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2261/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G2261/90Applications
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/12Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule
    • C08G61/122Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides
    • C08G61/123Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds
    • C08G61/124Macromolecular compounds containing atoms other than carbon in the main chain of the macromolecule derived from five- or six-membered heterocyclic compounds, other than imides derived from five-membered heterocyclic compounds with a five-membered ring containing one nitrogen atom in the ring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

복수의 패턴을 가지는 기판 위에 유기 화합물을 포함하는 제1 조성물을 적용하여 제1 유기층을 형성하는 단계, 상기 제1 유기층에 용매를 적용하여 상기 제1 유기층 일부를 제거하는 단계; 일부가 제거된 상기 제1 유기층 위에 유기 화합물을 포함하는 제2 조성물을 적용한 후 경화 과정을 거쳐 제2 유기층을 형성하는 단계(S3)를 포함하는 막 구조물 제조 방법, 이에 따른 막 구조물, 상기 막 구조물을 이용한 패턴 형성 방법, 및 상기 패턴 형성 방법에 따라 제조되는 반도체 소자를 제공한다.

Description

막 구조물 제조 방법, 막 구조물, 및 패턴형성방법 {METHOD OF PRODUCIMG LAYER STRUCTURE, LAYER STRUCTURE, AND METHOD OF FORMING PATTERNS}
막 구조물 제조 방법, 및 이에 따른 패턴형성방법에 관한 것으로, 구체적으로 듀얼 다마신 배선 구조 등과 같은 다중 패턴 구조를 형성하기 위한 막 구조물의 제조방법, 및 이에 따른 패턴형성방법에 관한 것이다.
최근 반도체 산업은 수백 나노미터 크기의 패턴에서 수 내지 수십 나노미터 크기의 패턴을 가지는 초미세 기술로 발전하고 있다. 이러한 초미세 기술을 실현하기 위해서는 효과적인 리쏘그래픽 기법이 필수적이다.
전형적인 리쏘그래픽 기법은 반도체 기판 위에 재료층을 형성하고 그 위에 포토레지스트 층을 코팅하고 노광 및 현상을 하여 포토레지스트 패턴을 형성한 후, 상기 포토레지스트 패턴을 마스크로 하여 재료층을 식각하는 과정을 포함한다.
근래, 형성하고자 하는 패턴의 크기가 감소함에 따라 상술한 전형적인 리쏘그래픽 기법만으로는 양호한 프로파일을 가진 미세 패턴을 형성하기 어렵다. 이에 따라 식각하고자 하는 재료층과 포토레지스트 층 사이에 일명 하드마스크 층(hardmask layer)이라고 불리는 유기막을 형성하여 미세 패턴을 형성할 수 있다.
하드마스크 층은 선택적 식각 과정을 통하여 포토레지스트의 미세 패턴을 재료 층으로 전사해주는 중간막으로서 역할을 한다.  따라서 하드마스크 층은 다중 식각 과정 동안 견딜 수 있도록 내열성 및 내식각성의 특성이 필요하다.
또한, 다중 패터닝 공정에서 피가공 기판에 단차가 있는 경우 혹은 패턴 밀집 부분 및 패턴이 없는 영역이 웨이퍼 상에 함께 존재하는 경우에는 패턴에 충진되는 하드마스크 층은 패턴 간의 단차를 최소화할 수 있는 평탄화 특성이 특히 중요하다.
그러므로, 상술한 특성들을 만족할 수 있는 막 구조물이 요구된다.
일 구현예는 별도의 에치백(etchback) 공정이나CMP(Chemical Mechanical Polishing) 공정 없이도 우수한 평탄화 특성을 나타내는 막 구조물 제조 방법을 제공한다.
다른 구현예는 평탄화 특성이 우수하면서도 내식각성 또한 확보할 수 있는 막 구조물을 제공한다.
또 다른 구현예는 상기 막 구조물 이용한 패턴 형성 방법을 제공한다.
또 다른 구현예는 상기 패턴 형성 방법에 의하여 제조된 반도체 소자를 제공한다.
일 구현예에 따르면, 복수의 패턴을 가지는 기판 위에 유기 화합물을 포함하는 제1 조성물을 적용하여 제1 유기층을 형성하는 단계(S1); 상기 제1 유기층에 용매를 적용하여 상기 제1 유기층 일부를 제거하는 단계(S2); 그리고 일부가 제거된 상기 제1 유기층 위에 유기 화합물을 포함하는 제2 조성물을 적용한 후 경화 과정을 거쳐 제2 유기층을 형성하는 단계(S3)를 포함하는 막 구조물 제조 방법을 제공한다.
일부가 제거된 상기 제1 유기층은 상기 패턴의 갭 내부에 잔존할 수 있다.
상기 용매는 상기 제1 조성물에 함유되는 유기 화합물에 대한 용해성을 가지는 것일 수 있다.
상기 용매는 γ-부티로락톤, δ-발레로락톤, 에틸락테이트, 에틸-3-에톡시프로피오네이트, 프로필렌글리콜모노메틸에테르아세테이트, β-하이드록시 β-메틸부티레이트, 메틸 알코올, 에틸 알코올, 1-프로필 알코올, 2-프로필 알코올, 2-부탄올, 에틸렌글리콜, 프로필렌 글리콜, 글리세롤, 1,6-헥산디올, 시클로 헥산디올, 소르비톨, 자일리톨, 2-메틸-2,4-펜탄디올, 1,3-부탄디올, 1,4-부탄디올, 에틸렌글리콜 모노메틸 에테르, 디에틸렌글리콜, 디프로필렌글리콜, 프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노메틸 에테르, 트리에틸렌글리콜, 폴리(에틸렌글리콜), 프로필렌글리콜 모노메틸 에테르, 디프로필렌글리콜 모노메틸 에테르, 트리프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노부틸 에테르, 프로필렌글리콜 모노메틸 에테르 아세테이트, 에틸에톡시 프로피오네이트, 프로필렌글리콜 모노메틸 에테르 프로피오네이트, 에틸렌글리콜 디아세테이트, 에틸 락테이트, 부틸 락테이트, 메틸 2-하이드록시아이소부티레이트, n-부틸 아세테이트, 포름아미드, 모노메틸포름아미드, 디메틸포름아미드, 아세트아미드, 모노메틸아세트아미드, 디메틸아세트아미드, 모노에틸아세트아미드, 디에틸아세트아미드, N-메틸피롤리돈, 메톡시 메틸 프로피오네이트, 메톡시 에틸 프로피오네이트, 메톡시 프로필 프로피오네이트, 메톡시 부틸 프로피오네이트, 에톡시 메틸 프로피오네이트, 에톡시 에틸프로피오네이트, 에톡시 프로필 프로피오네이트, 에톡시 부틸 프로피오네이트, 디메틸 술폰, 디메틸 술폭사이드, 술폴란, 아세톤, 아세틸 아세톤, 메틸에틸 케톤, 메틸 이소부틸 케톤 또는 이들의 조합을 포함할 수 있다.
상기 용매는 0.1cc 내지 100cc 범위 함량으로 적용될 수 있다.
상기 용매는 스핀-온 코팅, 스크린 프린팅, 슬릿코팅, 딥핑, 잉크젯 프린팅, 캐스팅 또는 스프레이 코팅 방식에 의해 제1 유기층 위에 적용될 수 있다.
제1 유기층을 형성하는 단계는 상기 기판 위에 적용된 상기 제1 조성물을 경화시키는 과정을 더 포함할 수 있다.
상기 제1 조성물을 적용한 후의 경화 과정 및 상기 제2 조성물을 적용한 후의 경화 과정은 각각 독립적으로 열, 자외선, 마이크로웨이브, 음파, 초음파, 또는 이들의 조합인 에너지를 가하는 과정을 포함할 수 있다.
상기 제2 조성물을 적용한 후의 경화 과정은 상기 제1 조성물을 적용한 후의 경화 과정보다 높은 온도에서 진행될 수 있다.
상기 제2 조성물을 적용한 후의 경화 과정은 20 내지 400 ℃ 범위에서 진행되는 제1 경화, 그리고 30 내지 500 ℃ 범위에서 진행되는 제2 경화를 포함하고, 상기 제2 경화 온도는 상기 제1 경화 온도보다 높을 수 있다.
상기 제1 조성물에 포함되는 유기 화합물 내의 탄소 함량 및 상기 제2 조성물에 포함되는 유기 화합물 내의 탄소 함량은 각각 독립적으로 60% 내지 96%일 수 있다.
상기 제1 조성물에 포함되는 유기 화합물 및 상기 제2 조성물에 포함되는 유기 화합물은 각각 독립적으로 적어도 하나의 치환 또는 비치환된 방향족 고리기, 치환 또는 비치환된 지방족 고리기, 치환 또는 비치환된 헤테로 방향족 고리기, 치환 또는 비치환된 헤테로 지방족 고리기, 또는 이들의 조합을 포함할 수 있다.
상기 제1 조성물에 포함되는 유기 화합물 및 상기 제2 조성물에 포함되는 유기 화합물은 각각 독립적으로 유기 중합체, 유기 단분자, 또는 이들의 조합을 포함할 수 있다.
상기 유기 중합체는 500 내지 200,000의 중량평균분자량을 가질 수 있다.
상기 유기 단분자는 250 내지 5,000의 분자량을 가질 수 있다.
상기 제1 조성물 또는 상기 제2 조성물은 첨가제를 더 포함할 수 있다.
상기 제1 조성물에 포함되는 첨가제 함량은 상기 제1 조성물 100 중량부에 대하여 약 0.001 내지 40 중량부이고, 상기 제2 조성물에 포함되는 첨가제 함량은 상기 제2 조성물 100 중량부에 대하여 약 0.001 내지 40 중량부 일 수 있다.
상기 첨가제는 계면활성제, 가소제, 가교제, 열산발생제(TAG), 광산발생제(PAG), 또는 이들의 조합을 포함할 수 있다.
상기 가교제는 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 메톡시메틸화 티오요소, 메톡시메틸화 티오요소, 메톡시메틸화벤젠, 부톡시메틸화벤젠, 메톡시메틸화페놀, 부톡시메틸화페놀 또는 이들의 조합을 포함할 수 있다.
상기 제1 조성물은 제1 용매를 더 포함하고, 상기 제2 조성물은 제2 용매를 더 포함하며, 상기 제1 용매 및 상기 제2 용매는 각각 독립적으로 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, N,N-디메틸포름아미드, N,N-디메틸아세트아미드, 메틸피롤리돈, 메틸피롤리디논, 아세틸아세톤, 에틸 3-에톡시프로피오네이트, 또는 이들의 조합을 포함할 수 있다.
상기 제1 유기층 및 상기 제2 유기층은 하드마스크 층일 수 있다.
상기 제1 조성물 및 상기 제2 조성물은 각각 독립적으로 300 Å 내지 10 ㎛ 두께로 적용될 수 있다.
상기 제1 조성물 및 상기 제2 조성물은 스핀-온 코팅, 스크린 프린팅, 슬릿코팅, 딥핑(dipping), 잉크젯 프린팅, 캐스팅 또는 스프레이 코팅 방식에 의해 적용될 수 있다.
상기 기판의 일면은 복수의 패턴을 가지는 제1부분 및 패턴을 가지지 않는 제2 부분을 포함하고, 상기 제2 유기층 단차 합계(S3)는 상기 제1 유기층 단차 합계(S1)와 비교하여 작은 값을 가질 수 있다.
다른 일 구현예에 따르면, 상술한 제조 방법에 따라 제조된 막 구조물을 제공한다.
또 다른 일 구현예에 따르면, 상술한 제조 방법에 따라 제조된 막 구조물을 제공하는 단계; 상기 막 구조물 위에 실리콘 함유 박막층을 형성하는 단계; 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계; 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계; 그리고 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층, 및 상기 막 구조물 내의 제1 유기층, 제2 유기층, 또는 이들의 조합을 선택적으로 제거하는 단계를 포함하는 패턴 형성 방법을 제공한다.
상기 제1 유기층을 형성하는 단계 이전에 상기 기판 위에 재료 층을 형성하는 단계를 더 포함할 수 있다.
상기 실리콘 함유 박막층, 및 상기 막 구조물 내의 제1 유기층, 제2 유기층, 또는 이들의 조합을 선택적으로 제거하는 단계에 의하여 노출된 재료층 부분을 식각하는 단계를 더 포함할 수 있다.
상기 포토레지스트를 형성하는 단계 전에 바닥 반사 방지 층(BARC)을 형성하는 단계를 더 포함할 수 있다.
상기 실리콘 함유 박막층은 SiCN, SiOC, SiON, SiOCN, SiC, SiN, 또는 이들의 조합을 포함할 수 있다.
또 다른 일 구현예에 따르면, 상술한 패턴 형성 방법에 따라 제조된 반도체 소자를 제공한다.
에치백(etchback) 혹은 CMP와 같은 별도의 평탄화 공정 없이도 단차 특성이 우수한 막 구조물을 제공하므로, 반도체 미세 패턴의 구현에 유리하다.
도 1은 일 구현예에 따른 막 구조물 제조 방법을 설명하기 위한 순서도이고,
도 2는 제1 유기층의 단차를 예시적으로 보여주는 단면도이고,
도 3 내지 5는 도 2의 제1 유기층의 일부가 제거된 상태를 예시적으로 보여주는 단면도이고,
도 6은 제2 유기층의 단차를 보여주는 단면도이고,
도 7 내지 9는 일 구현예에 따른 패턴 형성 방법을 설명하기 위한 단면도이고,
도 10은 단차 특성을 평가하기 위한 계산식 1을 설명하기 위한 참고도이다.
이하, 본 발명의 구현예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예에 한정되지 않는다.
도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 명세서 전체를 통하여 유사한 부분에 대해서는 동일한 도면 부호를 붙였다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우 뿐만 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다. 반대로 어떤 부분이 다른 부분 "바로 위에" 있다고 할 때에는 중간에 다른 부분이 없는 것을 뜻한다.
본 명세서에서 사용되는 단수형은 본문에서 특별히 언급하지 않는 한 복수형도 포함한다. 본 명세서에서 "을 포함한다(comprises)" 및/또는 "을 포함하는(comprising)" 이라는 용어는 명세서에서 쓰였을 때 언급된 모양(features), 수(integers), 단계(steps), 작동(operations), 구성요소, 및/또는 성분(components) 의 존재를 상술하는 것이지만, 그 외 하나 이상 모양, 수, 단계, 작동, 구성요소 성분 및/또는 그들의 집합의 추가를 제외하는 것은 아니다.
본 명세서에서 별도의 정의가 없는 한, '치환된'이란, 화합물 중의 수소 원자가 할로겐 원자(F, Br, Cl, 또는 I), 히드록시기, 알콕시기, 니트로기, 시아노기, 아미노기, 아지도기, 아미디노기, 히드라지노기, 히드라조노기, 카르보닐기, 카르바밀기, 티올기, 에스테르기, 카르복실기나 그의 염, 술폰산기나 그의 염, 인산이나 그의 염, C1 내지 C20 알킬기, C2 내지 C20 알케닐기, C2 내지 C20 알키닐기, C6 내지 C30 아릴기, C7 내지 C30 아릴알킬기, C1 내지 C30 알콕시기, C1 내지 C20 헤테로알킬기, C2 내지 C20 헤테로아릴기, C3 내지 C20 헤테로아릴알킬기, C3 내지 C30 사이클로알킬기, C3 내지 C15의 사이클로알케닐기, C6 내지 C15 사이클로알키닐기, C2 (내지 C30 헤테로사이클로알킬기 및 이들의 조합에서 선택된 치환기로 치환된 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '헤테로'란, N, O, S 및 P에서 선택된 헤테로 원자를 1 내지 3개 함유한 것을 의미한다.
이하 일 구현예에 따른 막 구조물 제조 방법에 관하여 도 1을 참고하여 설명한다.
도 1은 일 구현예에 따른 막 구조물 제조 방법을 설명하기 위한 순서도이다.
도 1을 참고하면, 상기 막 구조물 제조 방법은 복수의 패턴을 가지는 기판 위에 유기 화합물을 포함하는 제1 조성물을 적용하여 제1 유기층을 형성하는 단계(S1); 상기 제1 유기층에 용매를 적용하여 상기 제1 유기층 일부를 제거하는 단계(S2); 그리고 일부가 제거된 상기 제1 유기층 위에 유기 화합물을 포함하는 제2 조성물을 적용한 후 경화 과정을 거쳐 제2 유기층을 형성하는 단계(S3)를 포함한다.
제1 유기층을 형성하는 단계(S1)에서, 상기 기판은 예컨대 실리콘웨이퍼, 유리 기판 또는 고분자 기판일 수 있다. 혹은 상기 기판은 유리 기판 또는 고분자 기판 위에 실리콘 옥사이드, 실리콘 나이트라이드, TiSi, 실리사이드, 폴리실리콘 텅스텐, 구리, 알루미늄, TiN, TaN 또는 이들의 조합이 적층된 형태일 수 있다.
상기 기판은 일면에 복수의 패턴을 가지고, 상기 패턴의 모양은 삼각형, 사각형, 원형 등 제한되지 않는다. 패턴의 크기 또한 제한되지 않으며, 복수의 패턴의 평균 크기(가로 길이, 너비)는 예컨대 수 나노미터에서 수백 나노미터일 수 있고 패턴의 평균 크기(세로 길이, 깊이)는 예컨대 수 나노미터에서 수십 마이크로미터 일 수 있다. 본 명세서에서 '패턴의 갭' 또는 '패턴의 갭 내부'란 제1 패턴 및 이에 인접하는 제2 패턴 사이에 형성된 빈 공간을 의미하며, 복수의 패턴의 갭의 평균 크기(가로 길이)는 예컨대 수 나노미터에서 수백 나노미터일 수 있고 패턴의 평균 크기(세로 길이)는 예컨대 수 나노미터에서 수십 마이크로미터일 수 있다.
제1 유기층을 형성하는 단계(S1)는 상기 기판 위에 적용된 상기 제1 조성물을 경화시키는 과정을 더 포함할 수 있다. 상기 제1 조성물의 경화는 상기 제1 조성물에 에너지를 가하는 과정을 포함할 수 있다. 상기 에너지는 열 에너지, 자외선, 마이크로웨이브, 음파, 초음파 등 상기 제1 조성물을 경화시킬 수 있는 모든 가능한 수단을 포함한다. 상기 제1 조성물은 상기 경화 과정을 통하여 상기 복수의 패턴 사이의 갭은 상기 제1 조성물에 의하여 더욱 충진될 수 있다.
예를 들어 상기 제1 조성물을 경화시키는 단계는 예컨대 20 내지 400℃ 범위에서 진행될 수 있다.
이하, 상기 제1 조성물에 포함되는 유기 화합물에 관하여 설명한다.
상기 유기 화합물은 화합물 전체 중량 대비 60 중량% 내지 96 중량% 함량의 탄소를 포함할 수 있다. 상기 유기 화합물은 유기 중합체 또는 유기 단분자를 포함할 수 있고, 이들 유기 중합체와 유기 단분자가 블렌딩된 형태를 포함할 수도 있다.
상기 유기 중합체는 예컨대 약 500 내지 200,000, 또는 약 1,000 내지 100,000의 중량평균분자량을 가질 수 있고, 상기 유기 단분자는 예컨대 약 250 내지 5,000, 또는 약 500 내지 약 3,000의 분자량을 가질 수 있으나 이에 한정되는 것은 아니다.
예를 들어 상기 유기 화합물은 적어도 하나의 치환 또는 비치환된 방향족 고리기, 치환 또는 비치환된 지방족 고리기, 치환 또는 비치환된 헤테로 방향족 고리기, 치환 또는 비치환된 헤테로 지방족 고리기, 또는 이들의 조합을 포함할 수 있다. 이 경우 상기 제1 유기층의 내식각성 및 용매에 대한 용해성이 더욱 향상될 수 있다.
예를 들어 상기 유기 화합물은 하기 그룹 1에서 선택된 치환 또는 비치환된 고리기로부터 유도된 1가 또는 2가의 유기기를 포함할 수 있다.
[그룹 1]
Figure 112014105301289-pat00001
상기 그룹 1에서,
Z1은 단일 결합, 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬렌기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, C=O, NRa, 산소(O), 황(S) 또는 이들의 조합이고, 여기서 Ra는 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 할로겐 원자 또는 이들의 조합이고,
Z3 내지 Z18은 각각 독립적으로 C=O, NRa, 산소(O), 황(S), CRbRc 또는 이들의 조합이고, 여기서 Ra 내지 Rc는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 할로겐 원자, 할로겐 함유기, 또는 이들의 조합이다.
여기서, A 화합물로부터 '유도된 1가의 기'란 A 화합물 내의 1개의 수소가 치환되어 형성된 1가의 기를 의미한다. 예컨대 벤젠기로부터 유도된 1가의 기는 페닐기가 된다. 또한, A 화합물로부터 '유도된 2가의 기'란 A 화합물 내의 2개의 수소가 치환되어 2개의 연결지점이 형성된 2가의 기를 의미한다. 예컨대 벤젠기로부터 유도된 2가의 기는 페닐렌기가 된다.
상기 유기 화합물에 포함되는 작용기의 종류 및 수를 선택함으로써 제1 조성물의 물성을 조절할 수 있으며, 상기 작용기는 히드록시기, 할로겐 원자, 할로겐 함유기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C3 내지 C30 사이클로알킬기, 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C30 알콕시기, 치환 또는 비치환된 C2 내지 C30 알케닐기, 치환 또는 비치환된 C2 내지C30 알키닐기, 치환 또는 비치환된 C1 내지 C20 알데히드기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르, 치환 또는 비치환된 C1 내지C30 할로알킬기, 치환 또는 비치환된 C1 내지 C20 알킬보란기, 및 치환 또는 비치환된 C6 내지 C30 아릴보란기로 이루어진 군에서 선택될 수 있으나, 이에 한정되는 것은 아니다.
상기 제1 조성물은 용매를 더 포함하고 상기 용매의 종류는 상기 유기 화합물에 대한 충분한 용해성 또는 분산성을 가지는 것이면 특별히 한정되지 않으나, 예컨대 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, N,N-디메틸포름아미드, N,N-디메틸아세트아미드, 메틸피롤리돈, 메틸피롤리디논, 아세틸아세톤 및 에틸 3-에톡시프로피오네이트에서 선택되는 적어도 하나를 포함할 수 있다.
상기 유기 화합물은 상기 제1 조성물의 총 함량에 대하여 약 0.1 내지 60 중량%로 포함될 수 있다. 상기 범위로 화합물이 포함됨으로써 제1 유기층의 두께, 표면 거칠기 및 평탄화 정도를 조절할 수 있다.
상기 제1 조성물은 예컨대 계면활성제, 가소제, 가교제, 열산발생제(Thermal Acid Generator, TAG), 광산발생제(Photo Acid Generator, PAG)와 같은 첨가제를 더 포함할 수 있다.
상기 계면 활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 가소제는 예컨대 DOP(디옥틸프탈레이트), DOA(디옥틸아디페이트), TCP(트리크레실포스테이트), DIOP (Diisocctyl phthalate), DL79P (diheptyl, nonyl phthalate) DINP (diisononyl phthalate), DUP (diunedcyl phthalate), BBP (butyl benzyl phthalate), DOA (di-2-ethyl hexyl adipate), DIDA (diisodecyl adipate), DOZ (di-2-ethylhexyl Sebacate), DIOZ (Diisooctyl Azelate), DOS(Di-2-ethylhexyl Sebacate), TOP(tri-2ethylhexyl phosphate), TTP(triphenyl phosphate), CDP (Cresyldephenyl phosphate), TCP(tircresyl phosphate), TXP (Trixylyl phosphate), TOTM (tri-2-ethylhexyl trimellitate), polyethylene gpycol ester, ASE (alkylsulphonic acid phenyl ester), 3G6(triethylene glycol dihexanoate), 4g7(tetraethyleneglycol diheptanoate), ATEC(acetyl triethyl citrate), TBC (tributyl citrate), TOC (trioctyl citrate), ATOC(acetyl trioctyl citrate), ATHC(acetyl trihexyl citrate), TMC(trimethyl citrate), DMAD(dimethyl adipate_, MMAD(monomethyl adipate), DBM (dibutyl maleate), DIBM (diisobutyl maleate), BDNPF (bis(2,2-dinitropropyl)formal), TNEN(2,2,2-trinitroethyl 2-nitroxyethyl ether) 폴리에틸렌글라이콜, 폴리프로필렌, 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 가교제는 예컨대 멜라민계, 치환요소계, 또는 이들 폴리머계 등을 들 수 있다. 바람직하게는, 적어도 2개의 가교형성 치환기를 갖는 가교제로, 예를 들면, 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 메톡시메틸화 티오요소, 메톡시메틸화 티오요소, 메톡시메틸화벤젠, 부톡시메틸화벤젠, 메톡시메틸화페놀, 부톡시메틸화페놀 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 열산발생제의 예시로서, 벤조인토실레이트 및2-니트로 벤질 토실레이트 등의 유기설폰산 알킬에스테르 화합물, 디페닐요오도늄 트리플루오로메탄 설포네이트, 디페닐요오도늄 도데실 벤젠설포네이트, 비스(4-tert-부틸 페닐) 요오도늄 캠퍼설포네이트, 비스(4-tert-부틸 페닐) 요오도늄 노나플루오로 n-부탄 설포네이트, 비스(4-tert-부틸 페닐) 요오도늄 트리플루오로메탄 설포네이트 및 트리페닐설포늄 트리플루오로메탄 설포네이트 등의 오늄 염화합물을 들 수 있다. 또한, 2,4,4,6-테트라 브로모 사이클로헥사디에논, 페닐-비스(트리클로로 메틸)-s-트리아진 및 N-하이드록시숙신이미드 트리플루오로메탄 설포네이트, 피리디늄 p-톨루엔설포네이트(Pyridinium p-toluenesulfonate), 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 광산발생제의 예시로서 트리페닐술포늄 트리플레이트 (triphenylsulfonium triflate), 트리페닐술포늄 안티모네이트 (triphenylsulfonium antimonate), 디페닐이오도늄 트리플레이트 (diphenyliodonium triflate), 디페닐이오도늄 안티모네이트 (diphenyliodonium antimonate), 메톡시디페닐이오도늄 트리플레이트 (methoxydiphenyliodonium triflate), 디-t-부틸디페닐이오도늄 트리플레이트 (di-t-butyldiphenyliodonium triflate), 2,6-디니트로벤질 술포네이트 (2,6-dinitrobenzyl sulfonates), 피로갈롤 트리스(알킬술포네이트) (pyrogallol tris(alkylsulfonates)), N-히드록시숙신이미드 트리플레이트 (N-hydroxysuccinimide triflate), 노르보르넨-디카르복스이미드-트리플레이트 (norbornene-dicarboximide-triflate), 트리페닐술포늄 노나플레이트 (triphenylsulfonium nonaflate), 디페닐이오도늄 노나플레이트 (diphenyliodonium nonaflate), 메톡시디페닐이오도늄 노나플레이트 (methoxydiphenyliodonium nonaflate), 디-t-부틸디페닐이오도늄 노나플레이트 (di-t-butyldiphenyliodonium nonaflate), N-히드록시숙신이미드 노나플레이트 (N-hydroxysuccinimide nonaflate), 노르보르넨-디카르복스이미드-노나플레이트 (norbornene-dicarboximide-nonaflate), 트리페닐술포늄 퍼플루오로부탄술포네이트 (triphenylsulfonium perfluorobutanesulfonate), 트리페닐술포늄 퍼플루오로옥탄술포네이트 (PFOS) (triphenylsulfonium perfluorooctanesulfonate), 디페닐이오도늄 PFOS (diphenyliodonium PFOS), 메톡시디페닐이오도늄 PFOS (methoxydiphenyliodonium PFOS), 디-t-부틸디페닐이오도늄 트리플레이트 (di-t-butyldiphenyliodonium triflate), N-히드록시숙신이미드 PFOS (N-hydroxysuccinimide PFOS), 노르보르넨-디카르복스이미드 PFOS (norbornene-dicarboximide PFOS), 또는 이들의 조합을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 첨가제 함량은 제1 조성물의 광학적 특성을 변경시키지 않으면서 용해도뿐만 아니라 갭필 및 에치성능을 향상시킬 수 있는 범위에서 선택할 수 있으며, 예컨대 상기 제1 조성물 100 중량부에 대하여 약 0.001 내지 40 중량부 함량으로 포함될 수 있다.
상기 제1 조성물은 스핀-온 코팅 방식뿐만 아니라 스크린 프린팅, 슬릿코팅, 딥핑(dipping), 잉크젯, 캐스팅 및 스프레이 방식의 코팅에 의하여 적용될 수 있으며, 상기 제1 조성물은 300 Å 내지 10 ㎛ 두께로 적용될 수 있으나 이에 한정되는 것은 아니다.
다음으로, 제1 유기층의 일부를 제거하는 단계(S2)를 설명한다.
상기 제1 유기층의 일부는 용매에 용해되어 제거되어 평탄화된다.
상기 용매는 상기 제1 유기층에 함유되는 유기 화합물에 대한 용해성을 가지는 것이면 특별히 한정되지 않는다. 예를 들어 상기 용매는 유기용매일 수 있다.
예를 들어, 상기 용매는 γ-부티로락톤, δ-발레로락톤, 에틸락테이트, 에틸-3-에톡시프로피오네이트, 프로필렌글리콜 모노 메틸에테르 아세테이트, β-하이드록시 β-메틸부티레이트, 또는 이들의 조합을 포함할 수 있다.
예를 들어, 상기 용매는 하기 나열된 용매를 포함할 수 있다.
메틸 알코올, 에틸 알코올, 1-프로필 알코올, 2-프로필 알코올, 2-부탄올, 에틸렌글리콜, 프로필렌 글리콜, 글리세롤, 1,6-헥산디올, 시클로 헥산디올, 소르비톨, 자일리톨, 2-메틸-2,4-펜탄디올, 1,3-부탄디올, 및 1,4-부탄디올 등과 같은 알코올계 용매;
에틸렌글리콜 모노메틸 에테르, 디에틸렌글리콜, 디프로필렌글리콜, 프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노메틸 에테르, 트리에틸렌글리콜, 폴리(에틸렌글리콜), 프로필렌글리콜 모노메틸 에테르, 디프로필렌글리콜 모노메틸 에테르, 트리프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노부틸 에테르, 및 디에틸렌글리콜 모노부틸 에테르 등과 같은 에테르계 용매;
프로필렌글리콜 모노메틸 에테르 아세테이트, 에틸에톡시 프로피오네이트, 프로필렌글리콜 모노메틸 에테르 프로피오네이트, 에틸렌글리콜 디아세테이트, 에틸 락테이트, 부틸 락테이트, 메틸 2-하이드록시아이소부티레이트, n-부틸 아세테이트 등과 같은 에스터계 용매;
포름아미드, 모노메틸포름아미드, 디메틸포름아미드, 아세트아미드, 모노메틸아세트아미드, 디메틸아세트아미드, 모노에틸아세트아미드, 디에틸아세트아미드, 및 N-메틸피롤리돈 등과 같은 아미드계 용매;
메톡시 메틸 프로피오네이트, 메톡시 에틸 프로피오네이트, 메톡시 프로필 프로피오네이트, 메톡시 부틸 프로피오네이트, 에톡시 메틸 프로피오네이트, 에톡시 에틸프로피오네이트, 에톡시 프로필 프로피오네이트 및 에톡시 부틸 프로피오네이트 등과 같은 알콕시 알킬 프로피오네이트계 용매;
디메틸 술폰, 디메틸 술폭사이드 및 술폴란과 같은 황-함유 용매; 그리고
아세톤, 아세틸 아세톤, 메틸에틸 케톤, 메틸 이소부틸 케톤과 같은 케톤류 용매.
그러나, 상기에 나열된 용매들은 예시일 뿐 이에 한정되는 것은 아니다.
예를 들어, 상기 용매는 0.1cc 내지 100cc 범위 함량으로 적용될 수 있으며, 상기 용매는 스핀-온 코팅, 스크린 프린팅, 슬릿코팅, 딥핑(dipping), 잉크젯, 캐스팅 또는 스프레이 코팅 방식에 의해 제1 유기층 위에 토출(dispensing)될 수 있고 이와 같은 과정을 제1 유기층이 제거되는 정도를 고려하여 약 1 내지 10회 가량 반복할 수 있다. 토출 속도 및 횟수는 제1 조성물의 물성, 기판의 재료, 패턴의 크기 등을 고려하여 당업자가 적절히 선택할 수 있다.
제1 유기층의 일부를 제거하는 단계(S2)를 거친 후 제1 유기층은 상기 패턴의 갭 내부에 잔존할 수 있다. 제1 유기층의 일부를 제거하는 단계(S2)를 거친 후 잔존하는 제1 유기층은 패턴의 갭 내부에서 일부 또는 전부에 형성될 수 있다.
다음으로, 일부가 제거된 상기 제1 유기층 위에 유기 화합물을 포함하는 제2 조성물을 적용한 후 경화 과정을 거쳐 제2 유기층을 형성하는 단계(S3)를 설명한다.
상기 제2 조성물은 상기 제1 유기층의 일부를 제거시킨 후에 잔존하는 제1 유기층 위에 적용한다.
상기 제2 조성물에 포함되는 유기 화합물은 상술한 제1 조성물에 포함되는 유기 화합물과 마찬가지로 60% 내지 96%의 함량의 탄소를 포함할 수 있고, 상기 유기화합물은 유기 중합체, 유기 단분자, 또는 유기 중합체와 유기 단분자가 블렌딩된 형태일 수 있다.
상기 제2 조성물에 포함되는 유기 화합물의 구조, 함량 등은 상술한 상술한 제1 조성물에 포함되는 유기 화합물에 관한 내용과 같으므로 기재를 생략한다. 제1 조성물에 포함되는 유기 화합물의 종류와 상기 제2 조성물에 포함되는 유기 화합물의 종류는 서로 같아도 되고 달라도 된다.
상기 제2조성물은 용매를 더 포함할 수 있으며, 상기 용매는 상기 유기 화합물에 대한 충분한 용해성 또는 분산성을 가지는 것이면 특별히 한정되지 않으며, 제1 조성물에서 열거한 용매를 사용할 수 있다.
상기 유기 화합물은 상기 제2 조성물의 총 함량에 대하여 약 0.1 내지 60 중량%로 포함될 수 있다. 상기 범위로 화합물이 포함됨으로써 제2 유기층의 두께, 표면 거칠기 및 평탄화 정도를 조절할 수 있다.
상기 제2조성물은 예컨대 계면활성제, 가소제, 가교제, 열산발생제(Thermal Acid Generator, TAG), 광산발생제(Photo Acid Generator, PAG)와 같은 첨가제를 더 포함할 수 있고, 첨가제의 종류 및 함량은 제1 조성물에 관한 설명에서 상술한 바와 같다.
상기 제2조성물은 스핀-온 코팅 방식에 의하여 적용될 수 있으며, 상기 제2 조성물은 300 Å 내지 10 ㎛ 두께로 적용될 수 있으나 이에 한정되는 것은 아니다.
상술한 제2 조성물을 도포한 후 경화 과정을 거쳐 제2 유기층이 형성된다.
상기 제2 조성물을 적용한 후의 경화 과정은 제2 조성물이 도포된 상태의 막 구조물에 에너지를 가하는 과정을 포함할 수 있고, 상기 에너지는 광 에너지, 열 에너지 등 상기 제2 조성물을 경화시킬 수 있는 모든 가능한 수단을 포함한다. 상기 경화 과정을 통하여 형성된 제2 유기층은 후속되는 패턴 형성을 위한 하드마스크 조성 탄화막 또는 희생막이 될 수 있다. 예를 들어, 상기 제2 조성물을 적용한 후의 경화 과정은 상기 제1 조성물을 적용한 후의 경화 과정보다 높은 온도에서 진행될 수 있다. 상기 제2 조성물을 적용한 후의 경화 과정은 20 내지 400℃ 범위에서 진행되는 제1 경화, 그리고 30 내지 500℃ 범위에서 진행되는 제2 경화를 포함할 수 있고, 상기 제2 경화 온도는 상기 제1 경화 온도보다 높은 값을 가지도록 할 수 있다.
도 2는 상술한 제1 유기층의 단차를 예시적으로 보여주는 단면도이다. 도 2를 참고하면, 갭 내에 제1조성물의 충진은 이루어져있으나 막 표면의 일부에 요철이 남아 있음을 알 수 있다.
도 3 내지 5는 도 2의 제1 유기층의 일부가 제거된 상태를 예시적으로 보여주는 단면도이다. 제1 유기층 일부가 제거된 상태의 막 구조물 표면은, 도 3에 도시한 바와 같이 복수의 패턴을 가지는 패턴부와 패턴을 가지지 않는 비패턴부의 상단에 제1 유기층이 남아 있는 형태이거나, 도 4에 도시한 바와 같이 패턴의 갭의 일부가 제1 유기층에 의해 충진된 형태이거나 (h12 > h11 ≥ 0), 도 5에 도시한 바와 같이, 패턴의 갭의 전체가 제1 유기층에 의해 충진되어 있고 비패턴부 위에는 제1유기층이 남아있지 않은 형태일 수 형태일 수 있다.
상술한 방법에 의하여 형성된 막 구조물은 막 표면 단차의 발생이 최소화될 수 있다.
도 6은 일 실시예에 따라 일부가 제거된 제 1 유기층의 상부에 형성된 제 2유기층의 단차를 보여주는 단면도이다.
도 2 및 6을 참고하면, 제2 유기층 상태에서의 막 구조물 표면의 단차 합계, 즉 │h0″-h1″│ + │h0″-h2″│ + │h0″-h3″│ + │h0″-h4″│은 평탄화 공정을 거치지 않은, 즉 일부가 제거되지 않은 제1 유기층 상태에서의 막 구조물 표면의 단차 합계, 즉 │h0-h1│ + │h0-h2│ + │h0-h3│ + │h0-h4│과 비교하여, 작은 값을 가진다. 즉, 용매를 이용한 평탄화 공정을 거친 후 형성된 최종 막 구조물의 평탄화 특성이 향상되었음을 알 수 있다.
다른 구현예에 따르면, 상술한 제조 방법에 따라 제조된 막 구조물을 제공한다.
상기 막 구조물에서 상기 제1 유기층 및 상기 제2 유기층은 하드마스크 층일 수 있다. 상기 하드마스크 층은 우수한 평탄화 특성을 가지므로, 후속되는 패턴 형성 공정에서 패턴의 CD 에러 발생을 최소화하고 패턴의 CD 균일성(uniformity)을 향상시킬 수 있다.
이하 또 다른 구현예에 따른 패턴 형성 방법에 관하여 도 7 내지 9를 참고하여 설명한다.
도 7 내지 9는 일 구현예에 따른 패턴 형성 방법을 설명하기 위한 단면도이다.
상기 패턴 형성 방법은 상술한 막 구조물을 제공하는 단계; 상기 막 구조물의 제2 유기층 위에 실리콘 함유 박막층을 형성하는 단계; 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계; 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계; 그리고 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층, 그리고 상기 막 구조물 내의 제1 유기층, 제2 유기층, 또는 이들의 조합을 선택적으로 제거하는 단계를 포함한다.
도 7를 참고하면, 유기층(120)은 상술한 제1 유기층 및/또는 제2 유기층일 수 있으며, 기판(110) 위에 형성되어 패턴의 갭(G)을 충진한다. 막 구조물(100) 위에 실리콘 함유 박막층(130), 포토레지스트 층(150)을 순차적으로 형성하며, 포토레지스트 층(150)를 형성하는 단계 전에 바닥 반사 방지 층(BARC)(140)을 형성하는 단계를 더 포함할 수 있다. 또한, 상기 제1 유기층을 형성하는 단계 이전에 상기 기판 위에 재료 층을 형성하는 단계를 더 포함할 수 있다 (미도시). 상기 재료 층은 최종적으로 패턴하고자 하는 재료이며, 예컨대 알루미늄, 구리 등과 같은 금속층, 실리콘과 같은 반도체 층 또는 산화규소, 질화규소 등과 같은 절연층일 수 있다. 상기 재료 층은 예컨대 화학기상증착 방법으로 형성될 수 있다.
예를 들어, 실리콘 함유 박막층(130)은 SiCN, SiOC, SiON, SiOCN, SiC, SiN, 또는 이들의 조합을 포함할 수 있다.
도 8을 참고하면, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 층을 노광하는 단계는 예컨대 ArF, KrF 또는 EUV 등을 사용하여 수행할 수 있으나 이에 한정하지 않는다.  또한 노광 후 약 100 내지 500℃에서 열처리 공정을 수행할 수 있다.
도 9를 참고하면, 상기 막 구조물 내의 실리콘 함유 박막층(130) 및 유기층(120)을 선택적으로 제거된다. 유기층(120) 형성 전에 재료층(미도시)이 형성될 수 있으며, 상기 실리콘 함유 박막층(130), 및 상기 막 구조물 내의 유기층(120)을 선택적으로 제거하는 단계에 의하여 노출된 재료층 부분이 식각될 수 있다.
상기 식각된 재료 층은 복수의 패턴으로 형성될 수 있으며, 상기 복수의 패턴은 금속 패턴, 반도체 패턴, 절연 패턴 등 다양할 수 있으며, 예컨대 반도체 집적 회로 디바이스 내의 다양한 패턴으로 적용될 수 있다.
이하 실시예를 통하여 상술한 본 발명의 구현예를 보다 상세하게 설명한다. 다만 하기의 실시예는 단지 설명의 목적을 위한 것이며 본 발명의 범위를 제한하는 것은 아니다.
합성예
합성예 1
플라스크에 4,4'-(9H-플루오렌-9,9-디일)디페놀 (4,4'-(9H-fluorene-9,9-diyl)diphenol) 70g (0.2 mol), 1,4-비스(메톡시메틸)벤젠 (1,4-bis(methoxymethyl)benzene) (33.2 g, 0.2 mol) 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 70g 및 디에틸설페이트(diethylsulfate) 1.23g (8 mmol)를 투입한 후, 110℃에서 유지시키면서 중합을 진행시키되, 1시간 간격으로 상기 중합 반응물로부터 시료를 채취하여 그 시료의 중량평균분자량을 측정하여, 중량평균분자량이 2,500 내지 3,000 일 때 반응을 완료하였다. 중합 반응이 완료된 후, 반응물을 상온으로 서서히 냉각한 후 상기 반응물을 증류수 30g 및 메탄올 300g에 투입하여 강하게 교반한 후, 정치시켰다. 상등액을 제거하고 침전물을 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 60g에 녹이고, 이어서 메탄올 250g를 이용하여 강하게 교반한 후 정치시켰다(1차). 이 때 얻어지는 상등액을 다시 제거하고 침전물을 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 60g에 녹였다(2차). 상기 1차 및 2차 공정을 1회 정제 공정이라 하고, 이 정제 공정을 총 3회 실시하였다. 정제가 끝난 중합체를 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 60g에 녹인 후, 감압 하에서 용액에 남아있는 메탄올 및 증류수를 제거하여, 화학식 1a로 표현되는 중합체(Mw: 3000)를 얻었다.
[화학식 1a]
Figure 112014105301289-pat00002

합성예 2
파이렌 (20.2 g, 0.1 mol) 1,4-비스(메톡시메틸)벤젠 (33.2 g, 0.2 mol) 2-나프톨 (14.4 g, 0.1 mol) 디에틸설페이트 (0.6 g, 4 mmol) 및 프로필렌글리콜 모노메틸에테르아세테이트 (PGMEA) 70g를 사용하여 120℃에서 합성예 1과 동일한 방법을 이용하여 하기 화학식 2a로 표현되는 중합체(Mw: 3000)를 얻었다.
[화학식 2a]
Figure 112014105301289-pat00003

합성예 3
카바졸 (16.7 g, 0.1 mol), 4,4'-oxybis((methoxymethyl)benzene)(25.8 g, 0.1 mol), 디에틸 설파이트 (0.77 g, 0.05 mol), 및 모노메틸에테르아세테이트(PGMEA)(43 g) 사용하여 120℃에서 합성예 1과 동일한 방법을 이용하여 하기 화학식 3a로 표현되는 중합체(Mw: 3000)를 얻었다.
[화학식 3a]
Figure 112014105301289-pat00004

합성예 4
카바졸 (16.7 g, 0.1 mol), 9-플루오레논(9-Fluorenone) (18g, 0.1 mol), p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) (19 g, 0.1 mol), 및 모노메틸에테르아세테이트(PGMEA)(46 g) 사용하여 120℃에서 합성예 1과 동일한 방법을 이용하여 하기 화학식 4a로 표현되는 중합체(Mw: 3000)를 얻었다.
[화학식 4a]
Figure 112014105301289-pat00005

박막의 제조
실시예 1
상기 화학식 1a 로 표현되는 화합물 100중량부, 하기 화학식 A로 표현되는 단량체 (이하 단량체 1) 40 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 120℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로, 상기 하드마스크 조성물로 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다 (두께 측정: KMAC사제(ST5000), 이하 실시예 및 비교예도 마찬가지임).
[화학식 A]
Figure 112014105301289-pat00006

실시예 2
상기 화학식 1a로 표현되는 화합물 100중량부 및 단량체1 40중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 150℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
실시예 3
상기 화학식 1a로 표현되는 화합물 100 중량부, 하기 화학식 B로 표현되는 단량체 (이하 단량체 2) 30 중량부 및p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 패턴 웨이퍼 위에 스핀-온 코팅한 후 120℃에서 1분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
[화학식 B]
Figure 112014105301289-pat00007

실시예 4
상기 화학식 1a로 표현되는 화합물 100 중량부 및 단량체1, 20 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 170℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
실시예 5
상기 화학식 2a로 표현되는 화합물 100 중량부, 단량체1 40 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1중량부를 용매 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 120℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 5cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
실시예 6
상기 화학식 2a로 표현되는 화합물 100 중량부, 단량체1 30중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 150℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
실시예 7
상기 화학식 3a로 표현되는 화합물 100중량부, 단량체1 40 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 140℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
실시예 8
상기 화학식 4a로 표현되는 화합물 100 중량부, 단량체1 30 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 140℃에서 2분간 소프트 베이크 하여 박막을 형성하였다. 이 박막 위에 혼합 용매(γ-부티로락톤 5 wt%, 에틸락테이트 20 wt%, 및 에틸-3-에톡시프로피오네이트 75 wt%)를 스핀-온 상태에서 2cc 주액하여 박막의 일부와 함께 제거하였다. 다음으로 하드마스크 조성물을 2차 코팅을 진행한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
비교예 1
상기 화학식 1a로 표현되는 화합물 100 중량부, 단량체1 40 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
 
비교예 2
상기 화학식 1a로 표현되는 화합물 100 중량부, 단량체2 30 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
비교예 3
상기 화학식 2a로 표현되는 화합물 100 중량부, 단량체1 40 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
 
비교예 4
 상기 화학식 2a로 표현되는 화합물 100 중량부, 단량체2 30 중량부 및 p-톨루엔 술폰산 수화물(p-Toluenesulfonic acid monohydrate) 1 중량부를 전체 조성물(100 wt%) 중 10wt%가 되도록 용매(PGMEA/EL = 1:1)에 용해하여 하드마스크 조성물 용액을 제조하였다. 형성된 용액은 실리콘 웨이퍼 위에 스핀-온 코팅한 후 400℃에서 2분간 하드 베이크 하여 베어 웨이퍼 상에서의 조성물의 두께가 2500Å가 되도록 조절하였다.
박막의 평탄화 특성 평가
최종 형성된 박막의 평탄화 특성을 단차와 보이드(void) 로 평가하였다.
단차 확인을 위하여 L/S 1:1 50nm line의 선폭을 가지는 패턴 웨이퍼와 C/H 1:1 60nm hole로 이루어져 있는 패턴 웨이퍼 위에 하드마스크 조성물을 도포하여 실시예 1 내지 8, 그리고 비교예 1 내지 4에 따른 하드마스크 박막을 형성한 후, 단면을 잘라 샘플을 만들었다. 이어서, 상기 샘플을 Pt로 코팅 후 FE-SEM (Hitachi사 SU-8030)를 이용하여 단차를 확인하였다.
도 10은 단차 특성을 평가하기 위한 계산식 1를 설명하기 위한 참고도이다. 도 10을 참고하면, 평탄화 특성은 패턴이 형성되어 있지 않은 페리(peri) 부분의 막 두께와 패턴이 형성되어 있는 셀(cell) 부분의 막 두께의 차이가 크지 않을수록 우수한 것이다. 즉, │h0-h1│ + │h0-h2│ +│h0-h3│ +│h0-h4│ + … + (h0-hn) (n은 패턴의 수) 이 작은 값일수록 단차 특성이 우수한 것이다.
한편, 상기 샘플의 보이드(void) 발생 유무를 패턴웨이퍼 단면의 FE-SEM을 이용하여 관찰하였다.
그 결과를 표 1에 나타낸다.
  단차 void 유무 단차 void 유무
실시예 1 145 X 비교예 1 450 X
실시예 2 87 X 비교예 2 480 X
실시예 3 99 X 비교예 3 380 X
실시예 4 150 X 비교예 4 380 X
실시예 5 122 X


실시예 6 95 X
실시예 7 67 X
실시예 8 98 X
표 1을 참고하면, 실시예 1 내지 8에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 1 내지 4에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 우수한 평탄화 특성을 나타냄을 알 수 있다.
이상에서 본 발명의 바람직한 실시예들에 대하여 상세하게 설명하였지만 본 발명의 권리 범위는 이에 한정되는 것은 아니고 다음의 청구 범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리 범위에 속하는 것이다.
100: 막 구조물 110: 기판
120: 유기층 130: 실리콘 함유 박막층
140: 반사방지층 150: 포토레지스트층

Claims (31)

  1. 복수의 패턴을 가지는 기판 위에 유기 화합물을 포함하는 제1 조성물을 적용하여 제1 유기층을 형성하는 단계(S1);
    상기 제1 유기층에 용매를 적용하여 상기 제1 유기층 일부를 제거하는 단계(S2); 그리고
    일부가 제거된 상기 제1 유기층 위에 유기 화합물을 포함하는 제2 조성물을 적용한 후 경화 과정을 거쳐 제2 유기층을 형성하는 단계(S3)
    를 포함하고,
    상기 제1 조성물에 포함되는 유기 화합물 및 상기 제2 조성물에 포함되는 유기 화합물은 각각 독립적으로 적어도 하나의 치환 또는 비치환된 방향족 고리기, 치환 또는 비치환된 지방족 고리기, 치환 또는 비치환된 헤테로 방향족 고리기, 치환 또는 비치환된 헤테로 지방족 고리기, 또는 이들의 조합을 포함하는
    막 구조물 제조 방법.
  2. 제1항에서,
    일부가 제거된 상기 제1 유기층은 상기 패턴의 갭 내부에 잔존하는 막 구조물 제조 방법.
  3. 제1항에서,
    상기 용매는 상기 제1 조성물에 함유되는 유기 화합물에 대한 용해성을 가지는 것인 막 구조물 제조 방법.
  4. 제1항에서,
    상기 용매는 γ-부티로락톤, δ-발레로락톤, 에틸락테이트, 에틸-3-에톡시프로피오네이트, 프로필렌글리콜모노메틸에테르아세테이트, β-하이드록시 β-메틸부티레이트, 메틸 알코올, 에틸 알코올, 1-프로필 알코올, 2-프로필 알코올, 2-부탄올, 에틸렌글리콜, 프로필렌 글리콜, 글리세롤, 1,6-헥산디올, 시클로 헥산디올, 소르비톨, 자일리톨, 2-메틸-2,4-펜탄디올, 1,3-부탄디올, 1,4-부탄디올, 에틸렌글리콜 모노메틸 에테르, 디에틸렌글리콜, 디프로필렌글리콜, 프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노메틸 에테르, 트리에틸렌글리콜, 폴리(에틸렌글리콜), 프로필렌글리콜 모노메틸 에테르, 디프로필렌글리콜 모노메틸 에테르, 트리프로필렌글리콜 모노메틸 에테르, 디에틸렌글리콜 모노부틸 에테르, 프로필렌글리콜 모노메틸 에테르 아세테이트, 에틸에톡시 프로피오네이트, 프로필렌글리콜 모노메틸 에테르 프로피오네이트, 에틸렌글리콜 디아세테이트, 에틸 락테이트, 부틸 락테이트, 메틸 2-하이드록시아이소부티레이트, n-부틸 아세테이트, 포름아미드, 모노메틸포름아미드, 디메틸포름아미드, 아세트아미드, 모노메틸아세트아미드, 디메틸아세트아미드, 모노에틸아세트아미드, 디에틸아세트아미드, N-메틸피롤리돈, 메톡시 메틸 프로피오네이트, 메톡시 에틸 프로피오네이트, 메톡시 프로필 프로피오네이트, 메톡시 부틸 프로피오네이트, 에톡시 메틸 프로피오네이트, 에톡시 에틸프로피오네이트, 에톡시 프로필 프로피오네이트, 에톡시 부틸 프로피오네이트, 디메틸 술폰, 디메틸 술폭사이드, 술폴란, 아세톤, 아세틸 아세톤, 메틸에틸 케톤, 메틸 이소부틸 케톤 또는 이들의 조합을 포함하는 막 구조물 제조 방법.
  5. 제1항에서,
    상기 용매는 0.1cc 내지 100cc 범위 함량으로 적용되는 막 구조물 제조 방법.
  6. 제1항에서,
    상기 용매는 스핀-온 코팅, 스크린 프린팅, 슬릿코팅, 딥핑(dipping), 잉크젯 프린팅, 캐스팅 또는 스프레이 코팅 방식에 의해 제1 유기층 위에 적용되는 막 구조물 제조 방법.
  7. 제1항에서,
    제1 유기층을 형성하는 단계는 상기 기판 위에 적용된 상기 제1 조성물을 경화시키는 과정을 더 포함하는 막 구조물 제조 방법.
  8. 제7항에서,
    상기 제1 조성물을 적용한 후의 경화 과정 및 상기 제2 조성물을 적용한 후의 경화 과정은 각각 독립적으로 열, 자외선, 마이크로웨이브, 음파, 초음파, 또는 이들의 조합인 에너지를 가하는 과정을 포함하는 막 구조물 제조 방법.
  9. 제7항에서,
    상기 제2 조성물을 적용한 후의 경화 과정은 상기 제1 조성물을 적용한 후의 경화 과정보다 높은 온도에서 진행되는 막 구조물 제조 방법.
  10. 제9항에서,
    상기 제2 조성물을 적용한 후의 경화 과정은 20 ℃ 내지 400 ℃ 범위에서 진행되는 제1 경화, 그리고 30 ℃ 내지 500 ℃ 범위에서 진행되는 제2 경화를 포함하고, 상기 제2 경화 온도는 상기 제1 경화 온도보다 높은 막 구조물 제조 방법.
  11. 제1항에서,
    상기 제1 조성물에 포함되는 유기 화합물 내의 탄소 함량 및 상기 제2 조성물에 포함되는 유기 화합물 내의 탄소 함량은 각각 독립적으로 60% 내지 96%인 막 구조물 제조 방법.
  12. 삭제
  13. 제11항에서,
    상기 제1 조성물에 포함되는 유기 화합물 및 상기 제2 조성물에 포함되는 유기 화합물은 각각 독립적으로 유기 중합체, 유기 단분자, 또는 이들의 조합을 포함하는 막 구조물 제조 방법.
  14. 제13항에서,
    상기 유기 중합체는 500 내지 200,000의 중량평균분자량을 가지는 막 구조물 제조 방법.
  15. 제13항에서,
    상기 유기 단분자는 250 내지 5,000의 분자량을 가지는 막 구조물 제조 방법.
  16. 제13항에서,
    상기 제1 조성물 또는 상기 제2 조성물은 첨가제를 더 포함하는 막 구조물 제조 방법.
  17. 제16항에서,
    상기 제1 조성물에 포함되는 첨가제 함량은 상기 제1 조성물 100 중량부에 대하여 0.001 내지 40 중량부이고, 상기 제2 조성물에 포함되는 첨가제 함량은 상기 제2 조성물 100 중량부에 대하여 0.001 내지 40 중량부인 막 구조물 제조 방법.
  18. 제16항에서,
    상기 첨가제는 계면활성제, 가소제, 가교제, 열산발생제(TAG), 광산발생제(PAG), 또는 이들의 조합을 포함하는 막 구조물 제조 방법.
  19. 제18항에서,
    상기 가교제는 메톡시메틸화 글리코루릴, 부톡시메틸화 글리코루릴, 메톡시메틸화 멜라민, 부톡시메틸화 멜라민, 메톡시메틸화 벤조구아나민, 부톡시메틸화 벤조구아나민, 메톡시메틸화요소, 부톡시메틸화요소, 메톡시메틸화 티오요소, 메톡시메틸화 티오요소, 메톡시메틸화벤젠, 부톡시메틸화벤젠, 메톡시메틸화페놀, 부톡시메틸화페놀, 또는 이들의 조합을 포함하는 막 구조물 제조 방법.
  20. 제13항에서,
    상기 제1 조성물은 제1 용매를 더 포함하고, 상기 제2 조성물은 제2 용매를 더 포함하며, 상기 제1 용매 및 상기 제2 용매는 각각 독립적으로 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, N,N-디메틸포름아미드, N,N-디메틸아세트아미드, 메틸피롤리돈, 메틸피롤리디논, 아세틸아세톤, 에틸 3-에톡시프로피오네이트,또는 이들의 조합을 포함하는 막 구조물 제조 방법.
  21. 제1항에서,
    상기 제1 유기층 및 상기 제2 유기층은 하드마스크 층인 막 구조물 제조 방법.
  22. 제1항에서,
    상기 제1 조성물 및 상기 제2 조성물은 각각 독립적으로 300 Å 내지 10 ㎛ 두께로 적용되는 막 구조물 제조 방법.
  23. 제1항에서,
    상기 제1 조성물 및 상기 제2 조성물은 스핀-온 코팅, 스크린 프린팅, 슬릿코팅, 딥핑(dipping), 잉크젯 프린팅, 캐스팅 또는 스프레이 코팅 방식에 의해 적용되는 막 구조물 제조 방법.
  24. 제1항에서,
    상기 기판의 일면은 복수의 패턴을 가지는 제1부분 및 패턴을 가지지 않는 제2 부분을 포함하고, 상기 제2 유기층 단차 합계(S3)는 상기 제1 유기층 단차 합계(S1)와 비교하여 작은 값을 가지는 막 구조물 제조 방법.
  25. 제1항 내지 제11항, 및 제13항 내지 제24항 중 어느 한 항에 따라 제조된 막 구조물.
  26. 제1항 내지 제11항, 및 제13항 내지 제24항 중 어느 한 항에 따라 제조된 막 구조물을 제공하는 단계;
    상기 막 구조물 위에 실리콘 함유 박막층을 형성하는 단계;
    상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계;
    상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계; 그리고
    상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층, 및 상기 막 구조물 내의 제1 유기층, 제2 유기층, 또는 이들의 조합을 선택적으로 제거하는 단계
    를 포함하는 패턴 형성 방법.
  27. 제26항에서,
    상기 제1 유기층을 형성하는 단계 이전에 상기 기판 위에 재료 층을 형성하는 단계를 더 포함하는 패턴 형성 방법.
  28. 제27항에서,
    상기 실리콘 함유 박막층, 및 상기 막 구조물 내의 제1 유기층, 제2 유기층, 또는 이들의 조합을 선택적으로 제거하는 단계에 의하여 노출된 재료층 부분을 식각하는 단계를 더 포함하는 패턴 형성 방법.
  29. 제26항에서,
    상기 포토레지스트 형성하는 단계 전에 바닥 반사 방지 층(BARC)을 형성하는 단계를 더 포함하는 패턴 형성 방법.
  30. 제26항에서,
    상기 실리콘 함유 박막층은 SiCN, SiOC, SiON, SiOCN, SiC, SiN, 또는 이들의 조합을 포함하는 패턴 형성 방법.
  31. 제26항에 따른 패턴 형성 방법에 따라 제조된 반도체 소자.
KR1020140150602A 2014-10-31 2014-10-31 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법 KR102021484B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020140150602A KR102021484B1 (ko) 2014-10-31 2014-10-31 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
US14/740,456 US10312074B2 (en) 2014-10-31 2015-06-16 Method of producing layer structure, layer structure, and method of forming patterns
CN201510426921.9A CN105575775B (zh) 2014-10-31 2015-07-20 层结构及制造其的方法、形成图案的方法以及半导体装置
TW104125505A TWI594295B (zh) 2014-10-31 2015-08-06 層結構及製造其的方法、形成圖案的方法以及半導體裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140150602A KR102021484B1 (ko) 2014-10-31 2014-10-31 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170101310A Division KR102110991B1 (ko) 2017-08-09 2017-08-09 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법

Publications (2)

Publication Number Publication Date
KR20160051143A KR20160051143A (ko) 2016-05-11
KR102021484B1 true KR102021484B1 (ko) 2019-09-16

Family

ID=55853457

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140150602A KR102021484B1 (ko) 2014-10-31 2014-10-31 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법

Country Status (4)

Country Link
US (1) US10312074B2 (ko)
KR (1) KR102021484B1 (ko)
CN (1) CN105575775B (ko)
TW (1) TWI594295B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101754901B1 (ko) * 2014-05-16 2017-07-06 제일모직 주식회사 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101926023B1 (ko) * 2015-10-23 2018-12-06 삼성에스디아이 주식회사 막 구조물 제조 방법 및 패턴형성방법
KR101770749B1 (ko) * 2016-01-11 2017-08-23 최상준 반사방지용 하드마스크 조성물
CN108885403A (zh) 2016-04-18 2018-11-23 日产化学株式会社 包含萘酚芳烷基树脂的抗蚀剂下层膜形成用组合物
KR101777687B1 (ko) * 2016-10-13 2017-09-12 영창케미칼 주식회사 고내에치성 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
US10510538B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
KR102092798B1 (ko) * 2016-12-28 2020-03-24 삼성에스디아이 주식회사 유기막 제조 방법 및 패턴형성방법
TWI634003B (zh) * 2017-01-26 2018-09-01 長興材料工業股份有限公司 感光型乾膜及其應用
JP6809315B2 (ja) * 2017-03-15 2021-01-06 東京エレクトロン株式会社 半導体装置の製造方法及び真空処理装置
WO2023018308A1 (ko) * 2021-08-12 2023-02-16 한양대학교 산학협력단 분자선 구조를 갖는 다층 분자막 포토레지스트 및 이의 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000007982A (ja) 1998-06-24 2000-01-11 Tokyo Ohka Kogyo Co Ltd 平坦化膜形成用塗布液
JP2005532576A (ja) * 2002-02-27 2005-10-27 ブルーワー サイエンス アイ エヌ シー. 多層リソグラフィープロセスに関する新規な平坦化方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3724592B2 (ja) * 1993-07-26 2005-12-07 ハイニックス セミコンダクター アメリカ インコーポレイテッド 半導体基板の平坦化方法
DE4339466C2 (de) 1993-11-19 2001-07-19 Gold Star Electronics Verfahren zur Bildung von Mustern unter Verwendung eines Mehrschichtresists
JPH08107056A (ja) 1994-10-04 1996-04-23 Oki Electric Ind Co Ltd レジスト平坦化方法
KR20010028558A (ko) 1999-09-22 2001-04-06 윤종용 단차 있는 하부막 위에 대한 포토레지스트막 도포 방법
US20030054616A1 (en) * 2001-08-29 2003-03-20 Honeywell International Inc. Electronic devices and methods of manufacture
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR100688759B1 (ko) 2002-12-30 2007-02-28 동부일렉트로닉스 주식회사 층간 절연막 평탄화 방법
US7341935B2 (en) 2004-06-25 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Alternative interconnect structure for semiconductor devices
JP4281924B2 (ja) * 2005-11-02 2009-06-17 チェイル インダストリーズ インコーポレイテッド 半導体微細ギャップフィリング用重合体及びこれを利用したコーティング組成物
JP4868840B2 (ja) 2005-11-30 2012-02-01 Jsr株式会社 半導体装置の製造方法
US7358182B2 (en) 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
KR20090000428A (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN100561707C (zh) 2007-07-27 2009-11-18 中芯国际集成电路制造(上海)有限公司 金属互连方法和金属层图形化方法
JP4819016B2 (ja) 2007-10-01 2011-11-16 ゲットナー・ファンデーション・エルエルシー 液晶表示装置の製造方法
JP4880652B2 (ja) 2007-10-12 2012-02-22 信越化学工業株式会社 パターン形成方法
JP2012054342A (ja) 2010-08-31 2012-03-15 Toshiba Corp 半導体装置およびその製造方法
KR101230529B1 (ko) * 2010-12-23 2013-02-06 한국생산기술연구원 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴 형성 방법
KR101566531B1 (ko) 2012-12-27 2015-11-05 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101682021B1 (ko) * 2013-03-20 2016-12-02 제일모직 주식회사 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000007982A (ja) 1998-06-24 2000-01-11 Tokyo Ohka Kogyo Co Ltd 平坦化膜形成用塗布液
JP2005532576A (ja) * 2002-02-27 2005-10-27 ブルーワー サイエンス アイ エヌ シー. 多層リソグラフィープロセスに関する新規な平坦化方法

Also Published As

Publication number Publication date
TWI594295B (zh) 2017-08-01
US20160126088A1 (en) 2016-05-05
CN105575775B (zh) 2019-08-13
TW201628058A (zh) 2016-08-01
CN105575775A (zh) 2016-05-11
KR20160051143A (ko) 2016-05-11
US10312074B2 (en) 2019-06-04

Similar Documents

Publication Publication Date Title
KR102021484B1 (ko) 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
KR101344792B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101344788B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR20130026912A (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US10663863B2 (en) Method of producing layer structure, and method of forming patterns
KR101907499B1 (ko) 막 구조물 제조 방법 및 패턴형성방법
TWI641913B (zh) 聚合物、有機層組成物與形成圖案之方法
TWI644999B (zh) 聚合物、有機層組成物與形成圖案之方法
TWI639056B (zh) 有機層組成物及圖案形成方法
KR101829747B1 (ko) 하드마스크 층의 제조 방법, 및 패턴형성방법
KR102402747B1 (ko) 하드마스크용 조성물
KR101994365B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR102110991B1 (ko) 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
KR102015406B1 (ko) 막 구조물 제조 방법 및 패턴형성방법
KR102127256B1 (ko) 유기막 조성물, 중합체 및 패턴 형성 방법
KR102036681B1 (ko) 화합물, 유기막 조성물, 및 패턴형성방법
KR102112737B1 (ko) 막 구조물 제조 방법 및 패턴형성방법
KR20140055050A (ko) 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
KR102563290B1 (ko) 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102154678B1 (ko) 화합물, 유기막 조성물 및 패턴 형성 방법
KR102018237B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR101994366B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR20180076824A (ko) 유기막 제조 방법 및 패턴형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101003798; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20170809

Effective date: 20190715

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant