KR102296150B1 - 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 - Google Patents
멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 Download PDFInfo
- Publication number
- KR102296150B1 KR102296150B1 KR1020207019354A KR20207019354A KR102296150B1 KR 102296150 B1 KR102296150 B1 KR 102296150B1 KR 1020207019354 A KR1020207019354 A KR 1020207019354A KR 20207019354 A KR20207019354 A KR 20207019354A KR 102296150 B1 KR102296150 B1 KR 102296150B1
- Authority
- KR
- South Korea
- Prior art keywords
- chamber
- substrate
- inert gas
- degassing
- plasma
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 166
- 238000012545 processing Methods 0.000 title abstract description 48
- 229920000642 polymer Polymers 0.000 title abstract description 44
- 239000004593 Epoxy Substances 0.000 title abstract description 38
- 238000012790 confirmation Methods 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 78
- 239000011261 inert gas Substances 0.000 claims abstract description 54
- 238000007872 degassing Methods 0.000 claims abstract description 50
- 230000005855 radiation Effects 0.000 claims abstract description 43
- 230000008021 deposition Effects 0.000 claims abstract description 32
- 238000010438 heat treatment Methods 0.000 claims abstract description 26
- 230000007246 mechanism Effects 0.000 claims abstract description 8
- 238000012546 transfer Methods 0.000 claims description 44
- 239000007789 gas Substances 0.000 claims description 35
- 238000001465 metallisation Methods 0.000 claims description 35
- 238000000151 deposition Methods 0.000 claims description 33
- 230000008569 process Effects 0.000 claims description 32
- 238000004140 cleaning Methods 0.000 claims description 24
- 238000004891 communication Methods 0.000 claims description 11
- 239000012530 fluid Substances 0.000 claims description 8
- 238000004544 sputter deposition Methods 0.000 claims description 4
- 150000001875 compounds Chemical class 0.000 abstract description 20
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 abstract description 9
- 239000001301 oxygen Substances 0.000 abstract description 9
- 229910052760 oxygen Inorganic materials 0.000 abstract description 9
- 230000009477 glass transition Effects 0.000 abstract description 5
- 239000000463 material Substances 0.000 description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- 239000006227 byproduct Substances 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 238000001816 cooling Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000010943 off-gassing Methods 0.000 description 4
- 239000011148 porous material Substances 0.000 description 4
- 238000010408 sweeping Methods 0.000 description 4
- 238000009825 accumulation Methods 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 230000001627 detrimental effect Effects 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 238000004806 packaging method and process Methods 0.000 description 3
- 229920002577 polybenzoxazole Polymers 0.000 description 3
- 229920000647 polyepoxide Polymers 0.000 description 3
- 238000010926 purge Methods 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000002679 ablation Methods 0.000 description 2
- 238000009529 body temperature measurement Methods 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 125000003700 epoxy group Chemical group 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052756 noble gas Inorganic materials 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 238000002203 pretreatment Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- -1 H 2 O Substances 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- LNEPOXFFQSENCJ-UHFFFAOYSA-N haloperidol Chemical compound C1CC(O)(C=2C=CC(Cl)=CC=2)CCN1CCCC(=O)C1=CC=C(F)C=C1 LNEPOXFFQSENCJ-UHFFFAOYSA-N 0.000 description 1
- 231100001261 hazardous Toxicity 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 231100000572 poisoning Toxicity 0.000 description 1
- 230000000607 poisoning effect Effects 0.000 description 1
- 229920006254 polymer film Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000010421 standard material Substances 0.000 description 1
- 230000009469 supplementation Effects 0.000 description 1
- 229920002994 synthetic fiber Polymers 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Physical Vapour Deposition (AREA)
Abstract
기판을 프로세싱하기 위한 방법들 및 장치가 본원에 설명된다. 진공 멀티-챔버 증착 툴은, 가열 메커니즘 및 가변 주파수 마이크로파 소스 양쪽 모두를 갖는 디가스 챔버를 포함할 수 있다. 기판을 디가싱하기 위한 방법은, 폴리머 또는 에폭시를 포함하는 기판을, 디가스 온도와 유리 전이 온도 사이에서 유지되는 프로세싱 챔버 내에 포지셔닝하는 단계, 기판을 가변 주파수 마이크로파 방사선에 노출시키는 단계, 불활성 가스를 포함하는 플라즈마에 기판을 노출시키는 단계, 산소 함유 화합물들을 챔버로부터 제거하는 단계, 챔버의 불활성 가스의 압력을 상승시키는 단계, 및 기판을 디가스 온도보다 더 낮은 온도로 냉각하면서 불활성 가스의 압력을 유지하는 단계를 포함할 수 있다.
Description
[0001] 본 발명의 실시예들은 일반적으로, 마이크로파 방사선을 사용하는 기판 프로세싱을 위한 장치 및 방법들에 관한 것이다.
[0002] 더 작고 더 강력한 디바이스들에 대한 지속적인 요구에 맞추기 위해서, 반도체들 상의 통합 컴포넌트들의 크기가 감소하고 있다. 반도체 디바이스들 상의 피쳐들(features)이 더 작아짐에 따라, 피쳐들을 더 컴팩트해지도록 하기 위해, 진보된 폴리머들(polymers) 및 유전체들(dielectrics)이 개발되어 왔다. 이러한 새로운 유전체들 및 폴리머들은, 감소하는 크기 및 증가하는 컴팩트성과 일치하는 특성들을 제공하기 위해서, 증가된 다공성을 채용한다.
[0003] 고-품질 금속 필름들의 증착은 잔류물들이 없는 깨끗한 표면들 및 휘발성 재료들의 탈착(desorption)을 필요로 한다. 반도체 제조 및 패키징에서, 금속 증착 이전에, 보통(often) 세정이 후속되는 열 디가스(degas) 프로세스를 사용하는 것이 일반적인 관행(common practice)이다. 이러한 프로세스들은 전형적으로, 기판 및 진입하는 필름들의 재오염을 회피하기 위해서 인-시츄(in-situ)로(금속 증착 이전에 공기 노출 없이) 수행된다. 열 디가스 프로세스는 전형적으로, 핫 플레이트 상에서, 대류 오븐에서 또는 램프 배열체에 의한 적외선 가열을 사용하여 기판을 가열하는 것을 포함한다.
[0004] 디가싱은 이전에는, 가열 플레이트 또는 적외선(IR) 방사선 램프들을 이용하여, 기판을 가열함으로써 이루어졌다. 그러나, 이러한 열 가열 실시예들의 양쪽 모두는 최신 필름들을 디가싱하는 데에 충분하지 않다. 열 가열은 꽤 긴 시간이 걸리고 툴들의 매우 낮은 생산성을 초래한다. 또한, 표준 열 프로세싱은 손쉽게, 최신 필름들을, 산소-함유 이차 가스들을 생성하거나 또는 층의 유리 전이(glass transition)로 이어지는 온도에 이르게 할 수 있다.
[0005] 따라서, 증착된 필름의 열 프로세싱 범위들로 열을 유지하면서 필름의 효율적인 디가싱을 허용하는 방법들 및 장치에 대한 필요가 당 업계에 존재한다.
[0006] 일 실시예에서, 진공 멀티 챔버 증착 툴은, 챔버 본체, 선택된 직경을 갖는 기판을 지지하도록 구성된 기판 지지부, 기판을 가열하도록 구성된 가열 메커니즘, 온도 측정 디바이스, 및 기판 지지부의 표면을 향해서 변동하는 주파수의 마이크로파 방사선을 전달하도록 구성된 가변 주파수 마이크로파 방사선 소스를 포함할 수 있는 디가스 챔버; 및 금속화-이전(pre-metallization) 세정 챔버를 포함할 수 있다.
[0007] 다른 실시예에서, 기판을 디가싱하기 위한 방법은, 폴리머 또는 에폭시를 포함하는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계 - 챔버는 디가스 온도와 유리 전이 온도 사이에서 유지됨 -, 챔버 내로 불활성 가스를 유동시키는 단계, 불활성 가스를 포함하는 플라즈마를 생성하는 단계, 불활성 가스를 포함하는 플라즈마에 기판을 노출시키는 단계, 챔버로부터 산소 함유 화합물들을 제거하는 단계, 챔버의 불활성 가스의 압력을 상승시키는 단계, 및 기판을 디가스 온도보다 더 낮은 온도로 냉각하면서 불활성 가스의 압력을 유지하는 단계를 포함할 수 있다.
[0008] 다른 실시예에서, 기판을 디가싱하기 위한 방법은, 폴리머 또는 에폭시를 포함하는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계, 기판에 마이크로파 방사선을 전달하도록 가변 마이크로파 방사선 소스를 포지셔닝하는 단계, 기판의 온도를 디가스 온도 초과의 온도에서 유지하면서 짧은 시간에 걸쳐 하나 초과의 주파수로 기판에 마이크로파 방사선을 전달하는 단계, 및 챔버로부터 산소 함유 화합물들의 제 1 세트를 제거하는 단계를 포함할 수 있다.
[0009] 다른 실시예에서, 진공 멀티-챔버 증착 툴은, 로드 록 챔버; 내부에 제 1 중앙 로봇이 장착된 제 1 이송 챔버; 내부에 제 2 중앙 로봇이 장착된 제 2 이송 챔버; 챔버 본체, 선택된 직경을 갖는 기판을 지지하도록 구성된 기판 지지부, 기판을 가열하도록 구성된 기판 가열 메커니즘, 온도 측정 디바이스, 및 5.85GHz 내지 7.0GHz의 범위로부터 선택되어 200Hz 내지 280Hz 만큼 변하는 둘 또는 그 초과의 주파수들을 사용하고 그리고 기판 지지부의 표면을 향해서 변동하는 주파수의 마이크로파 방사선을 전달하도록 구성된 가변 주파수 마이크로파 방사선 소스를 포함할 수 있는 디가스 챔버; 불활성 가스를 포함하는 스퍼터링 세정 프로세스를 채용하고 디가스 챔버와 유체적으로(fluidly) 연결된 금속화-이전 세정 챔버; 및 금속화-이전 세정 챔버와 유체적으로 연결된 증착 챔버를 포함할 수 있다.
[0010] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 일 실시예에 따른 디가스 챔버의 개략도이다;
[0012] 도 2는 일 실시예에 따른 디가싱 방법의 블럭도이다;
[0013] 도 3은 다른 실시예에 따른 디가싱 방법의 블럭도이다; 그리고
[0014] 도 4는 일 실시예에 따른 진공 멀티-챔버 증착 툴의 평면도이다.
[0015] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내는데 동일한 참조번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들이 구체적인 언급 없이 다른 실시예들에서 유리하게 사용될 수 있는 것으로 고려된다.
[0011] 도 1은 일 실시예에 따른 디가스 챔버의 개략도이다;
[0012] 도 2는 일 실시예에 따른 디가싱 방법의 블럭도이다;
[0013] 도 3은 다른 실시예에 따른 디가싱 방법의 블럭도이다; 그리고
[0014] 도 4는 일 실시예에 따른 진공 멀티-챔버 증착 툴의 평면도이다.
[0015] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내는데 동일한 참조번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들이 구체적인 언급 없이 다른 실시예들에서 유리하게 사용될 수 있는 것으로 고려된다.
[0016] 기판을 프로세싱하기 위한 방법들 및 장치가 본원에 설명된다. 진공 멀티-챔버 증착 툴은, 가열 메커니즘 및 가변 주파수 마이크로파 소스 양쪽 모두를 갖는 디가스 챔버를 포함할 수 있다. 기판을 디가싱하기 위한 방법은, 폴리머 또는 에폭시를 포함하는 기판을, 디가스 온도와 유리 전이 온도 사이에서 유지되는 프로세싱 챔버 내에 포지셔닝하는 단계, 기판을 가변 주파수 마이크로파 방사선에 노출시키는 단계, 불활성 가스를 포함하는 플라즈마에 기판을 노출시키는 단계, 산소 함유 화합물들을 챔버로부터 제거하는 단계, 챔버의 불활성 가스의 압력을 상승시키는 단계, 및 기판을 디가스 온도보다 더 낮은 온도로 냉각하면서 불활성 가스의 압력을 유지하는 단계를 포함할 수 있다.
[0017] 반도체 제조 및 패키징은 다공성 유전체들, 에폭시 및 폴리머 재료들의 사용을 점점 더 수반한다. 반도체 디바이스 제조를 위해서, 이러한 재료들은 금속-간(inter-metal) 유전체 필름들 및 최종 패시베이션(passivation) 필름들로서 사용될 수 있다. 반도체 패키징을 위해서, 이러한 재료들은, 기판으로서, 디바이스 웨이퍼를 캐리어 기판에 결합시키는 본딩 화합물로서, 그리고 칩-온-웨이퍼 적층(chip-on-wafer stacking) 및 상호연결(interconnect)을 위한 언더필(underfill) 재료로서 사용될 수 있다.
[0018] 이러한 유전체, 에폭시 및 폴리머 재료들은 공기로의 노출 시에 수분을 쉽게 흡착하고, 그리고 보통, 후속하는 금속 증착 프로세스들 중에 아웃가싱(outgas)할 수 있는 휘발성 잔류 재료들을 포함한다. 아웃가싱은 다른 문제들 중에서도 열악한 점착력, 높은 저항, 및 열악한 필름 형태(morphology)/특성들을 갖는 열악한 품질의 금속 필름들을 초래할 수 있다. 따라서, 폴리머 및 에폭시 재료들은, 금속 증착 이전에 더 공격적인(aggressive) 디가싱을 필요로 한다.
[0019] 이하에서 설명되는 실시예들에서, 금속화 이전에 기판의 디가스 프로세싱을 위해 필요한 시간 및 온도 양쪽 모두를 감소시키기 위해서 가변 주파수 마이크로파(VFM)가 채용되었다. 추가적인 실시예들은 금속화-이전 세정에 앞서서 폴리머/에폭시 재료로부터의 휘발성 부산물들을 사전-제거(pre-release)하기 위해 불활성 플라즈마의 사용을 포함할 수 있다. 추가적인 실시예는 금속화-이전 세정에 앞서서, 폴리머/에폭시의 기공들(pores)을 밀봉하기 위해 불활성 가스의 적용을 포함할 수 있다. 본 출원의 실시예들은 이하의 도면들을 참조하여 더 잘 이해될 수 있다.
[0020] 도 1은 일 실시예에 따른 디가스 챔버(100)의 개략도이다. 하나 또는 그 초과의 현재 이용 가능한 챔버들은 이하에서 설명되는 본 발명의 실시예들에 대해 적응될 수 있다. 본 발명의 실시예들과 사용 가능한 챔버들은, 미국 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc. 로부터 입수 가능한 차저(Charger) UBM 시스템을 포함할 수 있다. 개시된 본 발명으로부터 벗어나지 않으면서 설명된 실시예들에 따라, 다른 제조업자들로부터의 챔버들을 포함해서, 다른 챔버들이 사용될 수 있다는 것이 예상된다.
[0021] 디가스 챔버(100)는 기판(104)을 지지하기 위한 기판 지지부(102)를 포함할 수 있다. 기판 지지부(102)는 알루미늄, 석영, 세라믹 또는 스테인리스 스틸과 같은 표준 재료들로 이루어질 수 있다. 또한, 기판 지지부(102)는 300mm 기판과 같은 임의의 크기의 기판들을 지지하도록 디자인될 수 있다. 기판(104)에 가열 또는 냉각을 전달하기 위해서 다양한 가열 및 냉각 디바이스들이 기판 지지부(102) 또는 디가스 챔버(100)에 대해 포지셔닝되거나 또는 그 내부에 내장될(embedded) 수 있다.
[0022] 기판(104)은, 실리콘, 에폭시 또는 석영 기판과 같이, 반도체 적용예들에 대해 사용 가능한 임의의 조성물(composition)일 수 있다. 기판(104)은 상부에 증착된 폴리머/에폭시 층(105)을 가질 수 있다. 폴리머/에폭시 층(105)은 기판(104)의 표면 상에 증착되고 기판(104) 상에서 후속하여 경화되는 층일 수 있다. 폴리머/에폭시 층(105)은 기판(104) 상에 액체 폴리머를 스핀-코팅함으로써 형성될 수 있다. 그런 다음에 폴리머/에폭시 층(105)은, 폴리머/에폭시 층(105)을 고체화 및 크로스-링킹(cross-link)하기 위해서 경화를 겪을 수 있다. 폴리머/에폭시 층(105)은, 폴리이미드들 또는 폴리벤조비소옥사졸(PBO)과 같이, 다수의 폴리머들 또는 에폭시들 중 하나로 이루어질 수 있다.
[0023] 폴리머/에폭시 층을 증착하기 위한 다른 증착 기술들도, 본원에 설명된 실시예들로부터 벗어나지 않으면서, 동일하게 잘 수행될 수 있다는 것이 이해되어야 한다. 예를 들어, 건식 폴리머/에폭시 필름의 진공 라미네이션(vacuum lamination)을 사용하여 폴리머/에폭시 층(105)이 기판(104) 상에 증착될 수 있다는 것이 예상된다. 추가적인 실시예들은 CVD에 의한 폴리머/에폭시 층(105)의 증착을 포함할 수 있다.
[0024] 디가스 챔버(100)는 또한, 기판 지지부(102)에 내장되는 것으로 본원에서 도시된 열 소스(106)와 같은, 열 소스(106)를 가질 수 있다. 열 소스(106)가, 기판 지지부(102)에 내장된 저항성 열 소스인 것으로서 도 1에 도시되지만, 열 소스(106)는, 적외선 가열 램프 열 소스와 같이, 기판의 디가싱에 적용 가능한 임의의 열 소스일 수 있다. 열 소스(106)로부터의 열은 기판(104)에 직접 전달될 수 있거나 또는 챔버의 온도를 변화시킴으로서 간접적으로 전달될 수 있다. 열 소스(106)는, 100℃ 내지 500℃의 온도와 같은, 안정된 온도로 기판을 가열하고 유지하도록 디자인될 수 있다. 열 소스는 기판을 가열하기 위해 에너지가 전달되는 것을 허용할 임의의 포지션에 포지셔닝될 수 있고 임의의 디자인일 수 있다.
[0025] 디가스 챔버(100)는 가변 주파수 마이크로파 방사선 소스(108)를 더 포함할 수 있다. 가변 주파수 마이크로파 방사선 소스(108)는 마이크로파 전력 소스(110)를 포함할 수 있다. 마이크로파 전력 소스(110)는, 마그네트론들, 클라이스트론들(klystrons), 자이로트론들(gyrotrons), 및 진행파관들(traveling wave tubes)을 포함하여, 모든 이용 가능한 마이크로파 전력 소스들로부터 선택될 수 있다. 가변 주파수 마이크로파 방사선 소스(108)는 또한, 마이크로파 공동(111)을 포함할 수 있다. 마이크로파 공동(111)은 단일 모드 또는 멀티-모드 공동이거나 또는 이들의 조합들일 수 있다. 마이크로파 공동(111)은 마이크로파 전력 소스(110)로부터 전력을 수신할 수 있다.
[0026] 가변 주파수 마이크로파 에너지(112)는 이용 가능한 주파수 범위에 걸친 주파수들의 연속적인 스위핑(sweeping)을 포함할 수 있다. 연속적인 스위핑은 금속 층들에서의 전하 축적(buildup)을 방지할 수 있고, 따라서 아킹(arcing) 및 후속하는 손상에 대한 가능성을 감소시킬 수 있다. 주파수 스위핑은 보통, 중앙 주파수를 선택하고 그리고 나서 일부 범위에 걸쳐 실질적으로 연속적인 방식으로 주파수를 신속하게 스위핑함으로써 수행된다. 전형적으로, 주파수 스위핑은 중앙 주파수의 +/-5%의 범위의 주파수들을 포함할 수 있지만, 이러한 범위는 마이크로파 소스의 유형과 마이크로파 파장과 비교한 공동의 전체 크기와 같은 그러한 인자들에 따라 변할 수 있다.
[0027] 가변 주파수 마이크로파 에너지(112)의 주파수 범위는, 5.85GHz 내지 7.0GHz의 범위와 같이, 주파수들의 특정 범위일 수 있다. 또한, 주파수 범위는, 200Hz 및 280Hz만큼 분리되도록 선택된 주파수들과 같이, 서로로부터 특정 간격의 주파수들로 분할될 수 있다. 예를 들어, 260Hz 분리는 4096개의 선택된 주파수들을 생성하고, 가변 주파수 마이크로파 에너지(112)는 이러한 4096개의 선택된 주파수들로부터 선택될 수 있다. 또한, 주파수 스위핑 동안에 전달된 가변 주파수 마이크로파 에너지(112)는, 주파수당 짧은 20마이크로초 내지 30마이크로초, 예를 들어 25마이크로초와 같이, 선택된 짧은 각각의 주파주 범위로 기판(104)에 전달될 수 있다.
[0028] 디가스 챔버(100)는 가스 소스(114)를 더 포함할 수 있다. 가스 소스(114)는 아르곤 또는 헬륨을 포함하는 가스와 같은 불활성 가스를 전달할 수 있다. 가스 소스(114)는 챔버의 크기 및 프로세싱되는 기판의 크기에 기초하여 특정 유량으로 챔버에 가스를 전달할 수 있다. 가스 소스(114)는 챔버와 직접 연결될 수 있거나 또는, 챔버로의 전달 이전에 원격 플라즈마 소스에서 플라즈마로 변환되는 희가스(noble gas)와 같이, 간접적으로 전달될 수 있다. 가스 소스(114)는, 선택된 불활성 가스도 전달하고 그리고 또한(both) 기판(104)도 냉각하기 위해서, 기판(104)에 걸쳐 가스를 전달하도록 포지셔닝될 수 있다.
[0029] 디가스 챔버(100)는 또한, 플라즈마 소스(116)를 포함할 수 있다. 플라즈마 소스(116)는, 아르곤 또는 헬륨을 포함하는 가스로부터와 같이, 불활성 가스로부터 플라즈마를 생성할 수 있다. 플라즈마 소스(116)는 챔버 내부에 플라즈마를 생성할 수 있거나, 또는 플라즈마는 원격 소스에서 생성될 수 있다. 플라즈마 소스(116)는 가스 소스(114)로부터 가스 유동을 수용할 수 있거나 또는 플라즈마 소스는 개별 가스 유동을 가질 수 있다(도시되지 않음). 플라즈마 소스(116)에서 사용되는, 불활성 가스 또는 불활성 가스를 포함하는 화합물(combination)은 가스 소스(114)에서 사용되는 것과 동일한 불활성 가스 또는 불활성 가스를 포함하는 화합물일 필요는 없다. 플라즈마 소스(116)는, 유도 결합 플라즈마, 용량 결합 플라즈마 또는 마이크로파 플라즈마를 포함하여, 이용 가능한 모든 플라즈마 생성 기술들에 의해서 형성된 플라즈마를 사용할 수 있다. 플라즈마 소스(116)는 일반적으로 디가스 챔버(100)에 또는 기판(104)에 지향되는 플라즈마를 전달할 수 있다.
[0030] 본원에서 설명된 실시예들은, 챔버들을 바꾸지 않고, 폴리머/에폭시 층(105)을 갖는 기판(104)을 디가스 챔버(100)에서 경화하는 것 및 디가싱하는 것 모두에 적용 가능할 수 있는 것으로 예상된다. 이는 대기(atmosphere)로부터의 수분 흡착을 감소시킬 것이고 따라서 기판(104)을 디가싱하기 위해 필요한 프로세싱 시간을 감소시킬 것이다.
[0031] 디가스 챔버(100)는 진공 소스(118)를 더 포함할 수 있다. 진공 소스(118)는, 예컨대 플라즈마 프로세싱 동안, 진공을 유지하는 데에, 그리고, 플라즈마를 이용한 처리 동안에 폴리머/에폭시 층(105)으로부터 생성된 부산물들과 같은, 디가싱의 원치 않는 부산물들을 제거하는 데에 모두 적용될 수 있다. CxOy 및 CxHy 가스 부산물들과 같은 부산물들은, 기판 프로세싱 동안에, 예컨대 금속화-이전 세정 동안에 폴리머/에폭시 층(105)에 의해서 부산물들이 아웃가싱될 수 있기 때문에, 추가적인 프로세싱에 영향을 줄 수 있다.
[0032] 디가스 챔버(100)는 하나 또는 그 초과의 연관된 챔버, 예컨대 금속화-이전 세정 챔버(도시되지 않음)에 유체적으로 연결될 수 있다. 디가스 챔버(100)는 또한, 금속화-이전 세정 및 증착 챔버들을 포함하는 멀티-챔버 유닛(도시되지 않음)의 일부분일 수 있다. 챔버들 간에 유체 연결을 사용하는 것은, 특히 디가싱 이후에, H2O 및 다른 불순물들의 추가적인 누적을 방지하는 것을 돕는다.
[0033] 본원에 설명된 실시예들은 기판 상의 폴리머/에폭시 층에 초점을 맞추고 있지만, 본원에 설명된 실시예들이, 디가스 절차들을 겪을 필요가 있는, 실질적으로 폴리머들 또는 에폭시들로 형성된 기판들에 동등하게 적용 가능하다는 것에 주목하는 것이 중요하다.
[0034] 도 2는 일 실시예에 따른 방법(200)의 블럭도이다. 방법(200)은, 202에서와 같이, 디가스 온도의 열 프로세싱 챔버에 기판을 포지셔닝하는 단계를 포함할 수 있다. 열 프로세싱 챔버는 상기 설명된 바와 같은 프로세싱 챔버일 수 있다. 또한 열 프로세싱 챔버는 멀티-챔버 유닛의 일부분일 수 있다. 기판은 디가스 온도에서 유지되는 온도로 기판 지지부 상에 포지셔닝된다. 디가스 온도는 재료에 특정될 수 있거나 또는 표준 온도일 수 있다. 실시예들은 기판 단독의 온도를 제어하는 단계 또는 기판 온도를 간접적으로 제어하기 위해서 프로세싱 챔버의 온도를 제어하는 단계를 포함할 수 있다.
[0035] 디가싱은 기판의 부분들의 금속화를 위한 준비(preparation)에 대한 유용한 컴포넌트인 것으로 잘 공지되어 있다. 다른 인자들 중에서도, 금속화 동안의 아웃가싱은 증착된 금속의 높은 저항률(resistivity) 및 오염을 야기할 수 있다. 기판을 디가싱하는 것에 의해서 회피되는 해로운 영향들의 상당한 부분을 H2O가 생성하는 것으로 주로 여겨진다. 표면 H2O는 임의의 온도에서 디가싱할 것으로 기대된다. 내부 수분은 50℃의 또는 그 초과의 온도들에서 디가싱을 시작할 것이다. 따라서, 적합한 디가싱을 위해서, 표면에 노출되지 않는 지역들을 특별히 고려한 균일한 가열이 중요하다.
[0036] 방법(200)은, 204에서와 같이, 가변 주파수 마이크로파 방사선 소스를 기판에 지향시키는 단계를 포함할 수 있다. 마이크로파 방사선 소스는, 변동하는 주파수로 기판에 전달되는 마이크로파 방사선의 하나 또는 그 초과의 파장들을 허용하는 임의의 디자인일 수 있고, 이는 상기 설명된 실시예들을 포함할 수 있다. 마이크로파 방사선 소스는 마이크로파 방사선을 기판에 전달하도록 포지셔닝될 수 있다. 또한 마이크로파 방사선 소스는, 기판의 표면 상의 폴리머/에폭시 층이 마이크로파 방사선의 적어도 일부를 수용하는 동안은 기판 포지션에 대해 다양한 각도들을 이룰 수 있다.
[0037] 마이크로파 방사선이 디가스 시간을 실질적으로 감소시킬 것이지만, 직접 가열에 의해 보충될 필요가 있을 것으로 여겨진다. 쌍극자 분자들(dipole molecules)이 마이크로파 에너지에 의해서 진동한다. 다공성 폴리머 재료에서 H2O 및 다른 흡착된 분자 누적물을 감소시키는 데에 사용될 수 있는 내부 열을 생성하는 것이 이러한 진동이다. 디가스가 지속됨에 따라, 마이크로파 가열이 의존하는, H2O와 같은 쌍극자 분자들이 필름으로부터 탈출할 것이다. 따라서, 마이크로파 방사선으로부터의 가열은 시간에 걸쳐 감소할 것이고 디가스 프로세스를 완료하기 위해서 직접 가열 또는 IR 가열 중 어느 하나로부터의 보충을 필요로 할 것이다.
[0038] 디가스 온도는 반드시 표준 디가스 온도들을 나타내는 것은 아닌데, 이는 디가스 프로세스가 마이크로파 방사선과 열 프로세싱 양쪽 모두를 사용하여 기판을 프로세싱하기 때문이다. 따라서, 챔버 온도는 기판 국부화된 온도보다 더 낮을 수 있어서, 프로세싱 이후에 기판의 더 빠른 냉각 및 폴리머/에폭시 층을 과열하는 것의 해로운 영향들의 회피를 허용한다.
[0039] 방법(200)은, 206에서와 같이, 마이크로파 방사선을 변동하는 주파수들로 기판에 전달하는 단계를 더 포함할 수 있다. 가변 주파수 마이크로파 방사선 소스를 기판에 지향시킨 이후에, 마이크로파 방사선의 하나 또는 그 초과의 파장들이, 변동하는 주파수들로 기판에 전달될 수 있다. 마이크로파 방사선은 특정 파장의 미리 선택된 주파수들로 전달될 수 있다. 주파수들은 주파수들의 목록으로부터 무작위로 선택될 수 있거나 또는 특정 순서로 선택될 수 있다. 특정 재료들은, 특정 주파수들 또는 주파수들의 특정 조합들의 사용에 기초하여 에너지를 누적하는 가능성이 덜할 수 있다. 에너지의 누적은 인가된 주파수들 및 사용된 마이크로파 방사선의 파장 양쪽 모두에 의해서 영향받을 수 있다.
[0040] 이론에 의해 구속되게 의도하지 않고, 단일 주파수 마이크로파 방사선은, 금속화 이전의 기판들의 디가싱을 위해 불충분하다. 단일 주파수 마이크로파 방사선은, 금속 피쳐들을 갖는 기판들과 같은, 프로세싱되는 기판들에 에너지가 누적되는 것을 허용할 수 있다. 가변 주파수 마이크로파 에너지 소스의 사용은 프로세싱되는 기판의 층들에 에너지의 축적을 방지할 수 있다. MOS 디바이스와 같은 디바이스 내의 아킹을 방지하기 위해서, 그리고 폴리머/에폭시 층의 균일한 가열을 촉진하기 위해서, 가변 주파수 마이크로파 소스가 채용될 수 있다.
[0041] 디바이스의 무결성(integrity)을 유지하면서 기판을 적절하게 낮은 H2O 함량으로 디가싱하는 것은 다수의 인자들의 고려를 필요로 한다. 디가싱은 시간 기간에 걸쳐 특정 온도에서 일어난다. 더 높은 온도는 디가싱에 필요한 시간의 양을 감소시킬 것이다. 그러나, 폴리머 층의 유리 전이 온도들(Tg)이 비교적 낮기 때문에, 적합한 디가스 온도를 결정하는데 있어서 층의 Tg가 고려되어야 한다. 예를 들어, 375℃의 Tg를 갖는 폴리이미드 필름(PBO)은 350℃에서 경화되고, 따라서 전형적인 디가스 온도는 350℃ 미만이다. 차세대 에폭시 레진들은 약 200℃에서 경화되고, 약 225℃의 Tg를 가져서, 200℃ 미만의 최대 디가스 온도를 제공한다. 추가적인 세대들을 위한 재료들은 약 110℃의 경화 온도를 갖고, 약 135℃의 Tg를 가져서, 110℃ 미만의 최대 디가스 온도를 제공할 것으로 기대된다. 마이크로파 방사선을 사용하여 가열을 국부화함으로써, 단지 기판만의 균일한 가열을 통해 시간이 감소될 수 있고 온도가 정확하게 한정될 수 있다.
[0042] 방법(200)은, 208에서와 같이, 산소 함유 화합물들을 챔버로부터 제거하는 단계를 더 포함할 수 있다. 디가스 프로세스 동안에, H2O와 같이, 대기에서의 이송 동안에 누적되는 화합물들 및, 폴리머/에폭시 층으로부터의 CxOy 화합물들과 같이, 가열 동안에 제거되는 화합물들 모두가 프로세싱 지역에 누적될 것이다. 이러한 화합물들은 냉각 프로세스 동안에 재-흡착할 수 있거나 또는 화합물들이, 노출된 금속들과 같은 다른 이용 가능한 컴포넌트들과 상호작용할 수 있다. 본 발명의 실시예들은, 이러한 화합물들을 챔버로부터 제거하기 위해서 불활성 가스 유동을 이용해 챔버를 퍼징하거나 진공을 생성하는 것과 같은, 제거 방법을 채용할 수 있다.
[0043] 일단 기판이 H2O의 충분한 감소에 도달되고 디가싱된 화합물들이 디가스 챔버로부터 제거되면, 디가싱된 기판은, 금속화 전에 표면 오염 물질들을 제거하기 위해서, 스퍼터 또는 화학 에칭을 위한 금속화-이전 챔버로 이동될 수 있다.
[0044] 선택적으로, 방법(200)은, 210에서와 같이, 불활성 가스를 포함하는 플라즈마로 기판을 처리하는 단계를 포함할 수 있다. 플라즈마 처리는, 예비세정 및 금속 증착 챔버들/이벤트들 이전에, 디가스 챔버 또는 개별 챔버에서 이뤄질 수 있다. 내부 테스트들은, 미국 캘리포니아 산타 클라라 소재의 Applied Materials, Inc. 로부터 입수 가능한 Isani XT 스퍼터 에칭 챔버에서 사용된 폴리머 재료와 같은 몇몇 폴리머 재료들의 고-밀도 플라즈마에 대한 노출이, 폴리머 구조의 분해 및 CxOy 및 CxHy 가스 부산물들의 제거를 초래할 수 있음을 증명하였다. 특히, 산소 함유 부산물들이 금속화-이전 세정 프로세스에 유해할 수 있다. 개별 챔버에서 금속화-이전 세정에 앞서서 폴리머의 플라즈마 예비-처리를 실시함으로써, 프로세스 포이즈닝(poisoning)이 회피될 수 있다.
[0045] 선택적 플라즈마 예비-처리는, 상기 설명된 디가스 프로세스 또는 당 업계에 공지된 다른 디가스 프로세스들을 포함하여, 디가스 프로세스의 임의의 부분에서 수행될 수 있다. 바람직한 실시예들에서, 사전-제거 프로세스는 기판의 표면에 전달되는 아르곤 또는 헬륨 플라즈마를 사용하여 수행된다. 플라즈마는 바람직하게, 기판의 마이크로파 처리 및 일차 디가싱이 일어난 후에 전달될 수 있다. 챔버 또는 기판을 디가스 온도로 유지하면서 플라즈마가 전달될 수 있다.
[0046] 디가스 챔버 내로의 마이크로파 방사선의 통합(integration)은 통합된 경화가 수행되는 것을 허용할 수 있다. 통합된 경화가 완료된 후에, 웨이퍼는, 웨이퍼가 공기 중에 노출되지 않으면서, 금속화-이전 세정 및 금속 증착 부분들로 진행할 수 있다. 통합된 경화는 단독 경화 노(furnace)에 대한 필요를 제거할 수 있고, 추가적으로, 반도체 제조 비용 절감들의 결과를 낳을 수 있다.
[0047] 내부 테스트들은, 플라즈마 처리가 폴리머에서 불활성 금속화-이전 가스 흡착을 초래할 수 있음을 보여주었다. 플라즈마가 소멸(extinguish)된 후에 가스는 폴리머로부터 탈착(desorb)된다. 그러나, 가스 탈착 레이트(rate)는 웨이퍼 온도에 의해서 부분적으로 제어된다. 웨이퍼가 충분하게 냉각된다면, 가스는 폴리머에 포획될(trapped) 것이며 천천히 탈착될 것이다. 이러한 "기공-밀봉" 부분이, 금속화-이전 세정 부분 동안에 폴리머 필름 아웃가싱을 감소시키는 것을 도울 수 있다.
[0048] 도 3은 다른 실시예에 따라 기판을 프로세싱하기 위한 방법(300)이다. 방법(300)은 표준 디가스 프로세스와 함께 또는 상기 설명된 디가스 프로세스와 함께 사용될 수 있다. 또한, 방법(300)은 상기 설명된 사전-제거 플라즈마 처리의 엘리먼트들을 결합할 수 있다.
[0049] 방법(300)은, 302에서와 같이, 기판을 디가스 온도의 열 프로세싱 챔버에 포지셔닝하는 단계를 포함할 수 있다. 이 실시예가 상기 설명된 실시예들과 결합된다면, 기판이 이동될 필요는 없다. 바람직한 실시예들에서, 방법(300)과 방법(200)을 결합할 때, 기판은 동일한 챔버에 유지될 것이다. 필수적인 것은 아니지만, 바람직한 실시예들은, 방법(200)의 디가싱의 완료 이후에 방법(300)의 하나 또는 그 초과의 엘리먼트들을 결합할 것이다.
[0050] 방법(300)은, 304에서와 같이, 불활성 가스를 챔버내로 유동시키는 단계를 더 포함할 수 있다. 불활성 가스는 디가스 프로세스를 위해 비-반응성 분위기를 제공하고, 탈착된 가스들과 노출된 표면들 사이의 상호작용을 방지하는 것을 도우며, 추가적으로, 탈착된 가스들의 제거를 보조하는 데에 사용될 수 있다. 이 부분에서 챔버 내로 유동된 불활성 가스는 챔버에 직접 유동될 수 있거나, 원격 플라즈마 디바이스를 통해서와 같이, 이차 디바이스와 연결되어 간접적으로 유동될 수 있거나, 또는 이들의 임의의 조합으로 유동될 수 있다. 본 발명의 실시예들에서 사용되는 불활성 가스는, 디가스 또는 금속화-이전 프로세스들에 비-반응성이고 비-유해한 임의의 가스, 예컨대 희가스, 예를 들어 아르곤 가스 또는 헬륨일 수 있다.
[0051] 방법(300)은, 306에서와 같이, 불활성 가스를 포함하는 플라즈마를 생성하는 단계를 포함할 수 있다. 플라즈마는 챔버에서 형성될 수 있거나, 또는 원격 플라즈마 소스에 의해서 전달될 수 있다. 이전에 논의된 바와 같이, 플라즈마의 생성을 위해 사용되는 에너지 소스는 이용 가능한 임의의 에너지 소스일 수 있다. 플라즈마는 유도 결합 플라즈마, 용량 결합 플라즈마, 또는 마이크로파 플라즈마일 수 있다. 플라즈마는 상기 설명된 바와 같이, 사전-제거를 위해 사용되면서 동시에 기공 밀봉을 위한 표면을 준비하는데도 사용될 수 있다.
[0052] 방법(300)은, 308에서와 같이, 불활성 가스를 포함하는 플라즈마를 기판의 폴리머/에폭시 층에 지향시키는 단계를 더 포함할 수 있다. 불활성 가스 플라즈마를 이용한 처리는, 플라즈마 부산물들이 제거되는 포지션에서 폴리머/에폭시 층의 표면의 공간들을 개방하는 것으로 여겨진다. 이러한 공간들 뿐만 아니라 이미 존재하는 공간들 또한 활성화된(activated) 불활성 가스에 의해서 채워지고, 이러한 불활성 가스는, 마이크로파 방사선으로부터의 열 또는 디가스 온도의 주변 열과 같은 열이 존재할 때 층으로부터 아웃가싱할 것이다.
[0053] 방법(300)은, 310에서와 같이, 산소 함유 화합물들을 챔버로부터 제거하는 단계를 더 포함할 수 있다. 플라즈마 처리 동안에, 폴리머/에폭시 층으로부터의 CxOy 화합물들과 같이, 프로세싱 지역에 누적될 화합물들이 제거될 수 있다. 이러한 화합물들은 냉각 프로세스 동안에 재-흡착될 수 있다. 본 발명의 실시예들은, 이러한 화합물들을 챔버로부터 제거하기 위해서 불활성 가스 유동을 이용해 챔버를 퍼징하거나 진공을 생성하는 것과 같은, 제거 방법을 채용할 수 있다.
[0054] 이전의 불활성 가스 처리를 사용하여 폴리머/에폭시 층이 사전-제거되었다면, 이 부분에서 산소 함유 화합물들을 챔버로부터 제거하는 단계는 덜 중요하다. 따라서, 선택적 사전-제거 프로세스와 관련하여 사전에 동등한 이벤트가 이미 수행되었다면, 310의 실시예의 부분은 본 출원에 설명된 하나 또는 그 초과의 실시예들에게 유익하지 않을 수 있다.
[0055] 방법(300)은, 312에서와 같이, 프로세싱 챔버의 불활성 가스의 압력을 상승시키는 단계를 더 포함할 수 있다. 불활성 가스는 이전 부분에서 챔버를 퍼징하는 데에 사용된 가스일 수 있다. 불활성 가스는 ,아르곤 또는 헬륨과 같이, 사전에 적용 가능한 임의의 불활성 가스 중 하나 또는 그의 조합일 수 있다. 폴리머/에폭시 층의 표면에 이미 존재하는 또는 형성된 보이드들이 불활성 가스에 의해서 적어도 부분적으로 채워질 수준까지 압력이 상승될 수 있다. 불활성 가스의 압력을 상승시키는 동안, 기판은 디가스 온도에 최적으로 유지되어야 한다. 이는, 다른 방법들 중에서도, 당 업계에 공지된 바와 같은 기판의 표준 가열을 이용하여, 또는 본원에 설명된 마이크로파 가열 실시예들을 이용하여 달성될 수 있다.
[0056] 방법(300)은, 314에서와 같이, 기판의 온도를 낮추는 동안 불활성 가스의 압력을 유지하는 단계를 포함할 수 있다. 폴리머/에폭시 층에 대해 원하는 수준에 압력이 도달할 때, 새롭게 포획된 불활성 가스를 이용해 기공들을 밀봉하기 위해서 온도가 낮춰질 수 있다. 불활성 가스는, 금속화-이전 세정과 같은 후속하는 프로세싱 동안에 아웃가싱할 때, 이송 동안에 진입할 수 있는 가스들을, 유해한 영향들 없이 대체할 것으로 여겨진다. 챔버 내로 불활성 가스를 유동시킴으로써 온도가 낮춰질 수 있다. 열의 외부 소스들을 제거함으로써, 기판의 온도를 낮추는 동안 압력을 유지하는 데에 불활성 가스의 일정한 유동이 사용될 수 있다. 기판의 온도를 디가스 온도 아래로 낮추는 것이 바람직하다. 또한, 챔버가 허용하는 디자인에 따라서, 챔버의 또는 기판 지지부의 냉각 장치를 이용하여 온도가 낮춰질 수 있다.
[0057] 도 4는 본 발명의 일 실시예에 따른 진공 멀티-챔버 증착 툴(400)의 평면도를 개략적으로 도시한다. 진공 멀티-챔버 증착 툴(400)은 2개의 이송 챔버들(408 및 433)을 포함하는 메인프레임에 커플링된 다수의 프로세싱 챔버들을 포함한다.
[0058] 진공 멀티-챔버 증착 툴(400)은 로드 록 챔버(404)와 선택적 연통하는 전방-단부 환경(402)을 포함한다. 하나 또는 그 초과의 포드들(pods)(401)이 전방-단부 환경(402)에 커플링된다. 하나 또는 그 초과의 포드들(401)은 기판들을 저장하도록 구성된다. 팩토리 인터페이스 로봇(403)이 전방-단부 환경(402)에 배치된다. 팩토리 인터페이스 로봇(403)은 포드들(401)과 로드 록 챔버(404) 사이에서 기판들을 이송하도록 구성된다.
[0059] 로드 록 챔버(404)는 전방-단부 환경(402)과 제 1 이송 챔버 조립체(410) 사이에 진공 인터페이스를 제공한다. 제 1 이송 챔버 조립체(410)의 내부 영역은 전형적으로, 진공 상태로 유지되고, 그리고 기판들을 하나의 챔버로부터 다른 및/또는 로드 록 챔버로 왕복시키기(shuttle) 위한 중간 영역을 제공한다.
[0060] 일 실시예에서, 제 1 이송 챔버 조립체(410)는 2개의 부분들로 분할된다. 본 발명의 일 실시예에서, 제 1 이송 챔버 조립체(410)는 이송 챔버(408)와 진공 확장 챔버(407)를 포함한다. 이송 챔버(408)와 진공 확장 챔버(407)는 서로 커플링되고 상호 유체 연통한다. 제 1 이송 챔버 조립체(410)의 내측 용적은 전형적으로, 프로세스 동안에 낮은 압력 또는 진공 상태로 유지된다. 로드 록 챔버(404)는 전방-단부 환경(402)과 진공 확장 챔버(407)에 슬릿 밸브들(405 및 406)을 통해서 각각 연결될 수 있다.
[0061] 일 실시예에서, 이송 챔버(408)는 복수의 측벽들, 바닥부 및 덮개를 갖는 다각형 구조일 수 있다. 복수의 측벽들은 측벽들을 관통하여 형성된 개구들을 가질 수 있고, 프로세싱 챔버들, 진공 확장 및/또는 통과(pass through) 챔버들을 연결하도록 구성된다. 도 4에 도시된 이송 챔버(408)는 사각 또는 직사각 형상을 갖고, 프로세싱 챔버들(411, 413), 통과 챔버(431) 및 진공 확장 챔버(407)에 커플링된다. 이송 챔버(408)는 슬릿 밸브들(416, 418, 및 417)을 통해서 프로세싱 챔버들(411, 413), 및 통과 챔버(431)와 각각 선택적 연통할 수 있다.
[0062] 일 실시예에서, 중앙 로봇(409)이, 이송 챔버(408)에서 이송 챔버(408)의 바닥부 상에 형성된 로봇 포트에 장착될 수 있다. 중앙 로봇(409)은 이송 챔버(408)의 내부 용적(420)에 배치되고, 프로세싱 챔버들(411, 413), 통과 챔버(431), 및 로드 록 챔버(404) 사이에서 기판들(414) 또는 기판 캐리어들(441)을 왕복시키도록 구성된다. 일 실시예에서, 중앙 로봇(409)은 기판들을 홀딩하기 위한 2개의 블레이드들을 포함할 수 있고, 각각의 블레이드는 동일한 로봇 베이스 상에 장착된 독립적으로 제어 가능한 로봇 아암 상에 장착된다. 다른 실시예에서, 중앙 로봇(409)은 블레이드들을 수직으로 이동시키는 능력을 가질 수 있다.
[0063] 진공 확장 챔버(407)는 진공 시스템에 대한 인터페이스를 제 1 이송 챔버 조립체(410)에 제공하도록 구성된다. 일 실시예에서, 진공 확장 챔버(407)는 바닥부, 덮개 및 측벽들을 포함한다. 압력 수정 포트가 진공 확장 챔버(407)의 바닥부에 형성될 수 있고, 진공 펌프 시스템에 적응하도록 구성된다. 진공 확장 챔버(407)가 이송 챔버(408)와 유체 연통하도록, 그리고 로드 록 챔버(404)와 선택적 연통하도록, 개구들이 측벽들 상에 형성된다.
[0064] 일 실시예에서, 진공 확장 챔버(407)는 하나 또는 그 초과의 기판들(414)을 저장하도록 구성된 선반(도시되지 않음)을 포함한다. 이송 챔버(408)에 직접적으로 또는 간접적으로 연결된 프로세싱 챔버들은 그들의 기판들을 선반 위에 저장할 수 있고, 기판들을 이송하는 데에 중앙 로봇(409)을 사용할 수 있다.
[0065] 진공 멀티-챔버 증착 툴(400)은, 통과 챔버(431)에 의해서 제 1 이송 챔버 조립체(410)에 연결된 제 2 이송 챔버 조립체(430)를 더 포함할 수 있다. 일 실시예에서, 로드 록 챔버와 유사하게, 통과 챔버(431)는 2개의 프로세싱 환경들 사이에 인터페이스를 제공하도록 구성된다. 이러한 경우에, 통과 챔버(431)는 제 1 이송 챔버 조립체(410)와 제 2 이송 챔버 조립체(430) 사이에 진공 인터페이스를 제공한다.
[0066] 일 실시예에서, 제 2 이송 챔버 조립체(430)는 진공 멀티-챔버 증착 툴(400)의 풋프린트를 최소화하기 위해서 2개의 부분들로 분할된다. 본 발명의 일 실시예에서, 제 2 이송 챔버 조립체(430)는 상호 유체 연통하는 이송 챔버(433)와 진공 확장 챔버(432)를 포함한다. 제 2 이송 챔버 조립체(430)의 내측 용적은 전형적으로, 프로세싱 동안에 낮은 압력 또는 진공 상태로 유지된다. 통과 챔버(431)는, 이송 챔버(408) 내의 압력이 상이한 진공 수준들에서 유지될 수 있도록, 이송 챔버(408)와 진공 확장 챔버(432)에 슬릿 밸브들(417 및 438)을 통해서 각각 연결될 수 있다.
[0067] 일 실시예에서, 이송 챔버(433)는 복수의 측벽들, 바닥부 및 덮개를 갖는 다각형 구조일 수 있다. 복수의 측벽들은 측벽들에 형성된 개구들을 가질 수 있고, 프로세싱 챔버들, 진공 확장 및/또는 통과 챔버들을 연결하도록 구성된다. 도 4에 도시된 이송 챔버(433)는 사각 또는 직사각 형상을 갖고, 프로세싱 챔버들(435, 436, 437), 및 진공 확장 챔버(432)와 커플링된다. 이송 챔버(433)는 슬릿 밸브들(441, 440, 439)을 통해서 프로세싱 챔버들(435, 436)과 각각 선택적 연통할 수 있다.
[0068] 중앙 로봇(434)이, 이송 챔버(433)에서 이송 챔버(433)의 바닥부 상에 형성된 로봇 포트에 장착된다. 중앙 로봇(434)은 이송 챔버(433)의 내부 용적(449)에 배치되고, 프로세싱 챔버들(435, 436, 437), 및 통과 챔버(431) 사이에서 기판들(414) 또는 기판 캐리어들(441)을 왕복시키도록 구성된다. 일 실시예에서, 중앙 로봇(434)은 기판들을 홀딩하기 위한 2개의 블레이드들을 포함할 수 있고, 각각의 블레이드는 동일한 로봇 베이스 상에 장착된 독립적으로 제어 가능한 로봇 아암 상에 장착된다. 다른 실시예에서, 중앙 로봇(434)은 블레이드들을 수직으로 이동시키는 능력을 가질 수 있다.
[0069] 일 실시예에서, 진공 확장 챔버(432)는 진공 시스템과 제 2 이송 챔버 조립체(430) 사이에 인터페이스를 제공하도록 구성된다. 일 실시예에서, 진공 확장 챔버(432)는 바닥부, 덮개 및 측벽들을 포함한다. 압력 수정 포트가 진공 확장 챔버(432)의 바닥부에 형성될 수 있고, 진공 펌프 시스템에 적응하도록 구성된다. 진공 확장 챔버(432)가 이송 챔버(433)와 유체 연통하도록, 그리고 통과 챔버(431)와 선택적 연통하도록, 개구들이 측벽들을 관통하여 형성된다.
[0070] 본 발명의 일 실시예에서, 진공 확장 챔버(432)는, 상기의 진공 확장 챔버(407)와 관련하여 설명된 바와 유사하게, 선반(도시되지 않음)을 포함한다. 이송 챔버(433)에 직접적으로 또는 간접적으로 연결된 프로세싱 챔버들은 기판들을 선반 위에 저장할 수 있다.
[0071] 캐리어(441)와 같은 기판 캐리어가 기판들(443)과 같은 다수의 기판들의 프로세싱을 동시에 가능하게 하는 임의의 프로세싱 아키텍쳐와 함께 사용될 수 있다. 일 실시예에서, 기판 캐리어는, 본원에서 설명된 프로세스들에 의해서 생성되는 프로세싱 환경들을 견딜 수 있는 실질적으로 강성인 재료로 만들어진 얇은 시트일 수 있다. 캐리어는 몇몇 실시예들에서 유리 또는 실리콘 플래터(silicon platter)일 수 있다. 다른 실시예들에서, 캐리어는 카본 합성물과 같이, 세라믹 또는 합성 재료일 수 있다. 몇몇 실시예들에서, 캐리어는, 유리-코팅된 또는 세라믹-코팅된 플래터와 같이, 프로세스-저항 재료로 코팅된 플래터일 수 있다. 캐리어는 반도체 제조 프로세스들에서 일반적으로 사용되는 300mm 기판일 수 있다. 몇몇 실시예들에서, 캐리어는 기판 프로세싱 및 핸들링을 용이하게 하도록 성형될 수 있다. 예를 들어, 캐리어는 캐리어 상에 배치된 개별 기판들을 조작하는 것을 용이하게 하기 위해서 상승되거나 하강되는 부분들을 가질 수 있다. 일 실시예에서, 캐리어 상의 각각의 기판 사이트(site)는, 그리핑(gripping) 연장부들을 갖는 로봇이, 사이트 상에 배치된 기판의 엣지와 접촉하는 것을 허용하기 위해서, 사이트 주변에 형성된 트렌치를 가질 수 있다. 다른 실시예에서, 캐리어는, 그리핑 연장부들을 갖는 로봇이, 중앙 개구를 갖는 기판의 내측 엣지와 접촉하는 것을 허용하기 위해서, 기판 사이트의 중앙에 형성된 오목부(depression)를 가질 수 있다. 몇몇 실시예들에서, 캐리어가 운반될 때 기판들이 캐리어 상에서 이동하는 것을 방지하기 위해서, 캐리어 상의 기판 사이트들이 오목할 수 있다.
[0072] 전형적으로, 상부에 배치된 기판을 지지하기 위한 페데스탈을 갖는 밀봉된 챔버에서 기판들이 프로세싱된다. 페데스탈은, 프로세싱 동안에 기판 지지부에 대해서 기판을 정전기적으로 홀딩하기 위해서, 내부에 배치된 전극들을 갖는 기판 지지부를 포함할 수 있다. 더 높은 챔버 압력들에 내성이 있는 프로세스들에 대해서, 페데스탈은 대안적으로, 프로세싱 동안에 기판 지지부에 대해서 기판을 단단하게 홀딩하기 위해서, 진공 소스와 연통하는 개구들을 갖는 기판 지지부를 포함할 수 있다.
[0073] 챔버들(411, 413, 435, 436 또는 437) 중 임의의 챔버에서 수행될 수 있는 프로세스들은, 다른 프로세스들 중에서도, 증착, 주입(implant), 및 열 처리 프로세스들을 포함한다. 일 실시예에서, 챔버들(411, 413, 435, 436 또는 437) 중 임의의 챔버와 같은 챔버는, 기판 상에 또는 다수의 기판들 상에 동시에 스퍼터링 프로세스를 수행하도록 구성된다. 다른 실시예에서, 챔버(411)는 도 1과 관련하여 설명된 바와 같은 디가스 챔버이다. 추가적인 실시예에서, 챔버(413)는 금속화-이전 세정 챔버이다. 이 실시예의 금속화-이전 세정 챔버는 아르곤과 같은 불활성 가스를 포함하는 스퍼터링 세정 프로세스를 사용한다. 추가적인 실시예들에서, 챔버(435)는 증착 챔버이다. 본원에서 설명된 실시예들과 함께 사용되는 증착 챔버는 공지된 임의의 증착 챔버일 수 있다.
[0074] 본원에서 설명된 실시예들은 기판을 디가싱하기 위한 방법들 및 장치에 관한 것이다. 마이크로파 방사선의 사용은 표준 열 디가싱 유닛들로부터 달성될 수 있는 것 보다 더 낮은 온도 및 더 높은 처리량의 디가싱 절차를 허용할 수 있다. 또한, 마이크로파 디가싱 실시예들은 단일 챔버에서 경화와 디가싱 양쪽 모두를 포함할 수 있다. 또한, 금속화에 이용 가능한 가스들은, H2O와 같이 누적된 가스들, 그리고 폴리머/에폭시 층의 플라즈마 처리 이후에 발생하는 가스들과 같이 생성된 가스들 양쪽 모두를 포함한다는 것이 발견되었다. 기판의 디가싱의 일부로서 사전-제거 스테이지, 기공 밀봉 스테이지 또는 이들의 조합들을 채용함으로써, 누적된 가스들 및 생성된 가스들 양쪽 모두가, 금속화-이전 세정에 앞서서 기판으로부터 제거될 수 있다. 상기 개시된 바와 같은 디가싱이, 후속 증착 동안에 더 높은 품질의 금속 피쳐들로 이어질 것으로 여겨진다.
[0075] 상기 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 본 발명의 기본 범위로부터 벗어나지 않으면서 안출될 수 있고, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.
Claims (15)
- 로드 록 챔버;
내부에 제 1 중앙 로봇이 장착된 제 1 이송 챔버;
내부에 제 2 중앙 로봇이 장착된 제 2 이송 챔버;
탈기(degas) 챔버로서,
챔버 본체,
선택된 직경을 갖는 기판을 지지하도록 구성된 기판 지지부,
기판을 가열하도록 구성된 기판 가열 메커니즘(mechanism),
온도 측정 디바이스,
5.85GHz 내지 7.0GHz의 범위로부터 선택되어 200Hz 내지 280Hz 만큼 변하는 둘 또는 그 초과의 주파수들을 사용하고 그리고 상기 기판 지지부의 표면을 향해서 변동하는 주파수의 마이크로파 방사선을 전달하도록 구성된 가변 주파수 마이크로파 방사선 소스, 및
탈기 챔버 내로 불활성 가스를 전달하는 가스 소스를 포함하고,
상기 탈기 챔버는,
탈기 챔버 내로 불활성 가스를 유동시키는 단계;
탈기 챔버의 불활성 가스의 압력을 상승시키는 단계; 및
기판의 온도를 낮추면서 불활성 가스의 압력을 유지하는 단계를 포함하는 방법에 의헤 제어되는 것인, 탈기 챔버;
불활성 가스를 포함하는 스퍼터링(sputtering) 세정 프로세스를 채용하고 상기 탈기 챔버와 유체적으로(fluidly) 연결된 사전-금속화(pre-metallization) 세정 챔버; 및
상기 사전-금속화 세정 챔버와 유체적으로 연결된 증착 챔버를 포함하고,
불활성 가스를 포함하는 플라즈마가 상기 탈기 챔버에서 생성되고, 상기 기판은 상기 탈기 챔버 내에서 상기 불활성 가스를 포함하는 플라즈마에 노출되는 것인,
진공 멀티-챔버 증착 툴. - 제 1 항에 있어서,
상기 가변 주파수 마이크로파 방사선의 주파수는 매 25마이크로초마다 변하는,
진공 멀티-챔버 증착 툴. - 제 1 항에 있어서,
상기 탈기 챔버는 기판을 경화시키는 것과 기판을 탈기시키는 것의 양자 모두를 행하는 것인,
진공 멀티-챔버 증착 툴. - 제 1 항에 있어서,
상기 기판 가열 메커니즘은 적외선 램프인 것인,
진공 멀티-챔버 증착 툴. - 삭제
- 제 1 항에 있어서,
상기 탈기 챔버는 플라즈마 소스를 더 포함하는 것인,
진공 멀티-챔버 증착 툴. - 삭제
- 제 1 항에 있어서,
상기 가변 주파수 마이크로파 방사선 소스는 마그네트론들, 클라이스트론들, 자이로트론들, 및 진행파관들(traveling wave tubes)로 이루어진 그룹으로부터 선택된 마이크로파 전력 공급원을 포함하는,
진공 멀티-챔버 증착 툴. - 로드 록 챔버;
내부에 제 1 중앙 로봇이 장착된 제 1 이송 챔버;
내부에 제 2 중앙 로봇이 장착된 제 2 이송 챔버;
탈기(degas) 챔버로서,
챔버 본체,
제 1 직경을 갖는 기판을 지지하도록 구성된 기판 지지부,
기판을 가열하도록 구성된 기판 가열 메커니즘(mechanism),
온도 측정 디바이스,
5.85GHz 내지 7.0GHz의 범위로부터 선택되어 200Hz 내지 280Hz 만큼 변하는 둘 또는 그 초과의 주파수들을 사용하고 그리고 상기 기판 지지부의 표면을 향해서 변동하는 주파수의 마이크로파 방사선을 전달하도록 구성된 가변 주파수 마이크로파 방사선 소스, 및
탈기 챔버 내로 불활성 가스를 전달하는 가스 소스를 포함하고,
상기 탈기 챔버는,
탈기 챔버 내로 불활성 가스를 유동시키는 단계;
탈기 챔버의 불활성 가스의 압력을 상승시키는 단계; 및
기판의 온도를 낮추면서 불활성 가스의 압력을 유지하는 단계를 포함하는 방법에 의헤 제어되는 것인, 탈기 챔버;
불활성 가스를 포함하는 세정 프로세스를 채용하고 상기 탈기 챔버와 유체적으로(fluidly) 연결된 사전-금속화(pre-metallization) 세정 챔버;
상기 사전-금속화 세정 챔버와 유체적으로 연결된 증착 챔버; 및
불활성 가스를 포함하는 플라즈마를 생성하는 플라즈마 소스를 포함하고,
상기 기판은 상기 탈기 챔버 내에서 상기 불활성 가스를 포함하는 플라즈마에 노출되는 것인,
진공 멀티-챔버 증착 툴. - 제 9 항에 있어서,
상기 가변 주파수 마이크로파 방사선의 주파수는 매 25마이크로초마다 변하는,
진공 멀티-챔버 증착 툴. - 제 9 항에 있어서,
상기 탈기 챔버는 기판을 경화시키는 것과 기판을 탈기시키는 것의 양자 모두를 행하는 것인,
진공 멀티-챔버 증착 툴. - 제 9 항에 있어서,
상기 기판 가열 메커니즘은 적외선 램프인 것인,
진공 멀티-챔버 증착 툴. - 삭제
- 삭제
- 제 9 항에 있어서,
상기 가변 주파수 마이크로파 방사선 소스는 마그네트론들, 클라이스트론들, 자이로트론들, 및 진행파관들(traveling wave tubes)로 이루어진 그룹으로부터 선택된 마이크로파 전력 공급원을 포함하는,
진공 멀티-챔버 증착 툴.
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261698386P | 2012-09-07 | 2012-09-07 | |
US61/698,386 | 2012-09-07 | ||
US13/960,236 | 2013-08-06 | ||
US13/960,236 US9171714B2 (en) | 2012-09-07 | 2013-08-06 | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
PCT/US2013/053830 WO2014039194A1 (en) | 2012-09-07 | 2013-08-06 | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
KR1020157008825A KR102132427B1 (ko) | 2012-09-07 | 2013-08-06 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020157008825A Division KR102132427B1 (ko) | 2012-09-07 | 2013-08-06 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200084923A KR20200084923A (ko) | 2020-07-13 |
KR102296150B1 true KR102296150B1 (ko) | 2021-08-30 |
Family
ID=50231741
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020157008825A KR102132427B1 (ko) | 2012-09-07 | 2013-08-06 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
KR1020207019354A KR102296150B1 (ko) | 2012-09-07 | 2013-08-06 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020157008825A KR102132427B1 (ko) | 2012-09-07 | 2013-08-06 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
Country Status (6)
Country | Link |
---|---|
US (1) | US9171714B2 (ko) |
KR (2) | KR102132427B1 (ko) |
CN (1) | CN104603914B (ko) |
SG (1) | SG11201501144TA (ko) |
TW (1) | TWI615495B (ko) |
WO (1) | WO2014039194A1 (ko) |
Families Citing this family (377)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
KR102132427B1 (ko) * | 2012-09-07 | 2020-07-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9538586B2 (en) * | 2013-04-26 | 2017-01-03 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
WO2015175507A1 (en) * | 2014-05-15 | 2015-11-19 | Washington University | Integrated epr nmr with frequency agile gyrotron |
US9349620B2 (en) * | 2014-07-09 | 2016-05-24 | Asm Ip Holdings B.V. | Apparatus and method for pre-baking substrate upstream of process chamber |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10204764B2 (en) | 2014-10-28 | 2019-02-12 | Applied Materials, Inc. | Methods for forming a metal silicide interconnection nanowire structure |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
CN106298585B (zh) * | 2015-06-03 | 2020-10-16 | 北京北方华创微电子装备有限公司 | 腔室及半导体加工设备 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
KR102312824B1 (ko) | 2016-03-17 | 2021-10-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 구조들에서의 갭충전을 위한 방법들 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10770272B2 (en) * | 2016-04-11 | 2020-09-08 | Applied Materials, Inc. | Plasma-enhanced anneal chamber for wafer outgassing |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US20170365490A1 (en) * | 2016-06-19 | 2017-12-21 | Applied Materials, Inc. | Methods for polymer coefficient of thermal expansion (cte) tuning by microwave curing |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
TWI644383B (zh) * | 2016-08-29 | 2018-12-11 | 因特瓦克公司 | 線內扇出系統 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10276379B2 (en) | 2017-04-07 | 2019-04-30 | Applied Materials, Inc. | Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
CN107706127A (zh) * | 2017-07-18 | 2018-02-16 | 中国科学院微电子研究所 | 一种混合退火装置及退火方法 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
CN110709967B (zh) | 2017-07-24 | 2023-09-01 | 应用材料公司 | 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法 |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
JP7157137B2 (ja) * | 2017-08-11 | 2022-10-19 | アクイセンス テクノロジーズ エルエルシー | 照射のための装置および方法 |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
TWI756475B (zh) * | 2017-10-06 | 2022-03-01 | 日商東京威力科創股份有限公司 | 抑制粒子產生之方法及真空裝置 |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
WO2019147495A1 (en) * | 2018-01-29 | 2019-08-01 | Applied Materials, Inc. | Wetting layers for optical device enhancement |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10811370B2 (en) * | 2018-04-24 | 2020-10-20 | Cree, Inc. | Packaged electronic circuits having moisture protection encapsulation and methods of forming same |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US12048948B2 (en) | 2018-12-26 | 2024-07-30 | Applied Materials, Inc. | Methods for forming microwave tunable composited thin-film dielectric layer |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
WO2020156660A1 (en) * | 2019-01-30 | 2020-08-06 | Applied Materials, Inc. | Method for cleaning a vacuum system, method for vacuum processing of a substrate, and apparatus for vacuum processing a substrate |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11629409B2 (en) * | 2019-05-28 | 2023-04-18 | Applied Materials, Inc. | Inline microwave batch degas chamber |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
CN114051448A (zh) * | 2019-07-07 | 2022-02-15 | 应用材料公司 | 用于聚合材料的微波处理的方法及装置 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
CN111106062A (zh) * | 2019-12-31 | 2020-05-05 | 华虹半导体(无锡)有限公司 | 脱气工艺方法和金属硬掩膜层的制造方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US12054823B2 (en) | 2020-09-17 | 2024-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for manufacturing metal gate structures |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
CN115679291A (zh) * | 2021-07-28 | 2023-02-03 | 长鑫存储技术有限公司 | 通过沉积工艺形成薄膜的方法 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
KR102424853B1 (ko) * | 2021-10-12 | 2022-07-25 | 주식회사 바코솔루션 | 반도체 기판 처리 장치 |
KR102418534B1 (ko) * | 2021-10-12 | 2022-07-07 | 주식회사 바코솔루션 | 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법 |
KR102418530B1 (ko) * | 2021-10-12 | 2022-07-07 | 주식회사 바코솔루션 | 반도체 기판 처리 장치 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5191182A (en) | 1990-07-11 | 1993-03-02 | International Business Machines Corporation | Tuneable apparatus for microwave processing |
US6977014B1 (en) * | 2000-06-02 | 2005-12-20 | Novellus Systems, Inc. | Architecture for high throughput semiconductor processing applications |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5726433A (en) * | 1980-07-23 | 1982-02-12 | Hitachi Ltd | Bake of photoresist or the like and apparatus therefor |
US6150645A (en) * | 1990-07-11 | 2000-11-21 | International Business Machines Corporation | Radiation control system |
US5106787A (en) | 1990-11-19 | 1992-04-21 | Taiwan Semiconductor Manufacturing Co. | Method for high vacuum controlled ramping curing furnace for SOG planarization |
US5730801A (en) | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
US6132564A (en) * | 1997-11-17 | 2000-10-17 | Tokyo Electron Limited | In-situ pre-metallization clean and metallization of semiconductor wafers |
US6379994B1 (en) * | 1995-09-25 | 2002-04-30 | Canon Kabushiki Kaisha | Method for manufacturing photovoltaic element |
US5738915A (en) * | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US5983906A (en) * | 1997-01-24 | 1999-11-16 | Applied Materials, Inc. | Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment |
KR100466307B1 (ko) * | 1997-10-25 | 2005-05-19 | 삼성전자주식회사 | 반도체소자제조장치및이를이용한디가스공정,식각공정및열처리공정 |
DE19810260C2 (de) * | 1998-03-10 | 2000-02-24 | Degussa | Verfahren zum Beschichten der Strömungskanäle eines wabenförmigen Katalysatorkörpers mit einer Dispersionsbeschichtung |
US6251759B1 (en) | 1998-10-03 | 2001-06-26 | Applied Materials, Inc. | Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system |
EP1081751A3 (en) * | 1999-09-02 | 2003-03-19 | Applied Materials, Inc. | Methods of pre-cleaning dielectric layers of substrates |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6777045B2 (en) * | 2001-06-27 | 2004-08-17 | Applied Materials Inc. | Chamber components having textured surfaces and method of manufacture |
US6841201B2 (en) | 2001-12-21 | 2005-01-11 | The Procter & Gamble Company | Apparatus and method for treating a workpiece using plasma generated from microwave radiation |
CN1788323A (zh) * | 2003-03-18 | 2006-06-14 | 陶氏康宁公司 | 导电组合物和使用该组合物的方法 |
AU2003276905A1 (en) * | 2003-03-18 | 2004-10-11 | Dow Corning Corporation | A conductive composition and method of using the same |
US7030023B2 (en) | 2003-09-04 | 2006-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for simultaneous degas and baking in copper damascene process |
DE102004015865B4 (de) | 2004-03-31 | 2006-05-04 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Reinigen der Oberfläche eines Substrats |
US20070095823A1 (en) * | 2005-10-27 | 2007-05-03 | Sedlmayr Steven R | Microwave nucleon-electron-bonding spin alignment and alteration of materials |
US20090134152A1 (en) * | 2005-10-27 | 2009-05-28 | Sedlmayr Steven R | Microwave nucleon-electron-bonding spin alignment and alteration of materials |
US7622378B2 (en) * | 2005-11-09 | 2009-11-24 | Tokyo Electron Limited | Multi-step system and method for curing a dielectric film |
JP5269602B2 (ja) * | 2005-11-11 | 2013-08-21 | ディーエスジー テクノロジーズ | シリコンウエハを熱加工するための装置及び方法 |
TWI547999B (zh) * | 2007-09-17 | 2016-09-01 | Dsgi公司 | 微波退火半導體材料的系統及方法 |
DE102008026133B4 (de) | 2008-05-30 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen |
KR20120090996A (ko) * | 2009-08-27 | 2012-08-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 |
CN102446741B (zh) * | 2010-10-07 | 2016-01-20 | 株式会社日立国际电气 | 半导体器件制造方法、衬底处理装置和半导体器件 |
JP2013069602A (ja) * | 2011-09-26 | 2013-04-18 | Tokyo Electron Ltd | マイクロ波処理装置および被処理体の処理方法 |
KR102132427B1 (ko) * | 2012-09-07 | 2020-07-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 |
US9548200B2 (en) * | 2013-08-21 | 2017-01-17 | Applied Materials, Inc. | Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications |
-
2013
- 2013-08-06 KR KR1020157008825A patent/KR102132427B1/ko active IP Right Grant
- 2013-08-06 KR KR1020207019354A patent/KR102296150B1/ko active IP Right Grant
- 2013-08-06 SG SG11201501144TA patent/SG11201501144TA/en unknown
- 2013-08-06 CN CN201380046614.7A patent/CN104603914B/zh active Active
- 2013-08-06 US US13/960,236 patent/US9171714B2/en active Active
- 2013-08-06 WO PCT/US2013/053830 patent/WO2014039194A1/en active Application Filing
- 2013-08-07 TW TW102128315A patent/TWI615495B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5191182A (en) | 1990-07-11 | 1993-03-02 | International Business Machines Corporation | Tuneable apparatus for microwave processing |
US6977014B1 (en) * | 2000-06-02 | 2005-12-20 | Novellus Systems, Inc. | Architecture for high throughput semiconductor processing applications |
Also Published As
Publication number | Publication date |
---|---|
CN104603914A (zh) | 2015-05-06 |
KR20200084923A (ko) | 2020-07-13 |
CN104603914B (zh) | 2017-07-14 |
SG11201501144TA (en) | 2015-04-29 |
WO2014039194A1 (en) | 2014-03-13 |
TW201413030A (zh) | 2014-04-01 |
KR20150052294A (ko) | 2015-05-13 |
US9171714B2 (en) | 2015-10-27 |
TWI615495B (zh) | 2018-02-21 |
US20140068962A1 (en) | 2014-03-13 |
KR102132427B1 (ko) | 2020-07-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102296150B1 (ko) | 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱 | |
US10629430B2 (en) | Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications | |
KR20100037060A (ko) | 기판 세정 챔버 및 부품 | |
JPH08115886A (ja) | 処理装置及びドライクリーニング方法 | |
JP2020528107A (ja) | 透過障壁 | |
JP6588423B2 (ja) | 半導体基板の熱処理方法、半導体基板の製造方法、熱処理装置、及び基板処理システム | |
JPH10219434A (ja) | 排気時間および基本圧力を減じるための真空室の皮膜 | |
KR20170070852A (ko) | 플라즈마 처리 방법 | |
US11666950B2 (en) | Method of forming process film | |
US20230146981A1 (en) | Hydrogen management in plasma deposited films | |
KR20150100509A (ko) | 오목부에 코발트를 공급하는 방법 | |
JPH11200031A (ja) | スパッタリング装置及びその高速真空排気方法 | |
US20180076030A1 (en) | SiC FILM FORMING METHOD AND SiC FILM FORMING APPARATUS | |
JP2002115066A (ja) | 処理装置及びドライクリーニング方法 | |
JP2002363729A (ja) | 光情報記録媒体作製用スパッタリング装置 | |
JPH03240949A (ja) | 蒸着装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
AMND | Amendment | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
X091 | Application refused [patent] | ||
AMND | Amendment | ||
X701 | Decision to grant (after re-examination) | ||
GRNT | Written decision to grant |