JP2020528107A - 透過障壁 - Google Patents

透過障壁 Download PDF

Info

Publication number
JP2020528107A
JP2020528107A JP2020504017A JP2020504017A JP2020528107A JP 2020528107 A JP2020528107 A JP 2020528107A JP 2020504017 A JP2020504017 A JP 2020504017A JP 2020504017 A JP2020504017 A JP 2020504017A JP 2020528107 A JP2020528107 A JP 2020528107A
Authority
JP
Japan
Prior art keywords
layer deposition
deposition
layer
inorganic material
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020504017A
Other languages
English (en)
Inventor
リコ・ベンツ
シュテファン・フォセル
ユルゲン・ヴァイヒャルト
Original Assignee
エヴァテック・アーゲー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エヴァテック・アーゲー filed Critical エヴァテック・アーゲー
Publication of JP2020528107A publication Critical patent/JP2020528107A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Laminated Bodies (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

層堆積装置は、基材キャリア(14)、PVD層堆積チャンバ及び/又はALD層堆積チャンバを備えた無機材料層堆積ステーション(10)、並びにポリマー堆積ステーション(8)を含む。制御ユニット(20)は、無機材料層堆積ステーション(10)及びポリマー堆積ステーション(8)からの堆積作用に対する、基材キャリア(14)上の基材(12)の断続的な曝露を制御する。

Description

基材に向かう及び基材上への水分子等の透過を効果的に妨げる、基板上の薄層を実現するため、そのような透過障壁層は、無機材料の層でなければならない。
定義:
本記述及び請求項の枠内で、本発明者等は、「基材」という用語の下、一般に加工品であると理解する。基材は、温度、例えば150℃よりも高く又はそれよりも低い温度に敏感な材料を含んでいてもよい。基材は、平板様形状を有していてもよい。基材は、電気デバイスであってもよく、熱に敏感な材料の例としてプリント回路基板材料を含んでいてもよい。
例えばポリマーの有機材料層、例えばプラズマ重合層のほとんどは、十分な封止効果を発揮せず、又は効果的に透過の妨げがなされるように厚みの大きい層を必要とする。プラズマ助長CVD(PECVD)によれば、高温、例えば150℃よりも高い温度で、及び/又は危険な気体、例えばシランの使用によって、稠密な無機層が実現されてもよい。
純粋に無機の材料層には、それらが脆く且つそれらの膨張温度係数が開始基材の場合に適応しないという欠点がある。それによって、既に小さい温度上昇は、無機材料層の亀裂又は開始基材に対する無機材料層の接着性の障害をもたらす可能性がある。
定義:
本発明者等は、「開始基材」という用語の下、まだ処理されていない又は透過を妨げるのに十分処理されていない上記にて定義された基材であると理解する。
本発明の1つの目的は、透過から保護され、それによって上記にて対処された欠点を回避する基材を提供することである。これは開始基材と、透過障壁層系とを含む基材によって、実現される。透過障壁層系は、少なくとも1つのプラズマ重合ポリマー材料含有層を後で含み且つ開始基材上に直接存在する、ポリマー材料層系を含む。透過障壁層系は、少なくとも1つのPVD堆積された又は少なくとも1つのALD堆積された無機材料含有層を含む無機材料層系であって、ポリマー材料層系上に直接堆積されたものを、更に含む。
定義
・ 本発明者等は、「ポリマー材料層系」の下、1つ又は複数の「ポリマー材料含有」層を含む層系であると理解する。これらの層の少なくとも1つは、「プラズマ重合ポリマー材料を含有する」。「ポリマー材料層系」が複数の「ポリマー材料含有」層を含む場合、これらの層のいくつかは、プラズマによるものとは異なるように重合されてもよい。層は更に、それぞれが異なるポリマー材料を含有していてもよい。
・ それによって本発明者等は、「ポリマー材料含有」層の下、又は「プラズマ重合ポリマー材料含有」層の下、ポリマー材料からなる層、又は例えば無機材料の少なくとも1種の残留材料を含有するポリマー材料の層であると理解する。
・ 本発明者等は、「無機材料層系」の下、1つ又は複数の「無機材料含有」層を含む層系であると理解する。これらの層の少なくとも1つは、PVD又はALD堆積される。「無機材料層系」が、複数の「無機材料含有」層を含む場合、これらの層のいくつかはPVD堆積されてもよく、これらの層のいくつかはALD堆積されてもよく、これらの層のいくつかは、PVD及びALDとは異なるプロセスによって、例えばCVD、PECVD等によって更に堆積されてもよい。層は更に、それぞれ異なる無機材料を含有していてもよく又はこれらの材料からなってもよい。
・ それによって本発明者等は、「無機材料含有」層の下、無機材料からなる層、又は例えばポリマー材料の少なくとも1種の残留材料を含有する無機材料の層であると理解する。
本発明者等が、SSによる開始基材、PPによるポリマー材料層系、及びPVD/ALDにより堆積された無機材料層系を述べる場合、基材の最小限の構造はSS−PP−PVD/ALDである。
それによってポリマー材料層系は、出発時の基材に対するPVD/ALD堆積層系の良好な接着性を提供し、無機材料層系におそらく生ずる亀裂を封止する。
本発明による基材の一実施形態では、基材は更に、プラズマ重合されていてもそうでなくてもよい少なくとも更なるポリマー材料含有層上に含む、且つPVD/ALD堆積された無機材料層系上に直接堆積された、少なくとも1つの更なるポリマー層系を更に含む。したがって構造は:
SS−PP−PVD/ALD−PP
になる。
更なる層系が設けられない場合、更なるポリマー材料層系は、周囲に曝露された又は更に処理されることになる、基材のその表面の少なくとも一部を提供する。
既に開始基材とPVD/ADL堆積された無機材料層系との間のポリマー材料層系で十分であることにも関わらず、ほとんどの場合、この更なる又は他のポリマー材料層系は、無機材料層系の亀裂を更に封止するために水分又は液体を弾く最外層系として付着される。
一実施形態では、開始基材自体は、1つ又は複数の開始基材層を含み、少なくとも1つのプラズマ重合ポリマー材料含有層を持つポリマー材料層系が、述べられた開始基材層の最外層上に直接堆積される。
本発明による基材の一実施形態では、開始基材は、下記の特長:
・ 最も一般的に加工品である;
・ 平板様形状を有する;
・ 電子デバイスである;
・ 熱に敏感な、例えば150℃よりも高く又はそれよりも低い温度に敏感な材料を含む;
・ プリント回路基板材料を含む
の少なくとも1つによって特徴付けられてもよい。
本発明による基材の一実施形態では、少なくとも1つのポリマー材料含有層を含むポリマー材料層系と、少なくとも1つのPVD又はALD堆積された無機材料含有層を含む無機材料層系とを含み、これらが1つのPVD/ALD堆積された無機材料層系上に指示された配列で固定されている、少なくとも1つの更なる透過障壁層系を含む。この結果、実際に、構造:
SS−PP−PVD/ALD−PP−PVD/ALD−....(PP)
が得られる。
したがって、開始基材SSから離れて、ポリマー材料層系PP、このポリマー材料層系の直上に無機材料層系PVD/ALD、そのような無機材料層系の直上にポリマー材料層系PP、及び述べたばかりのポリマー材料層系の直上に再び無機材料層系PVD/ALDが得られる。この層系の配列は、述べられた層系のそれぞれの厚さ及び実現される障壁精度に応じて、本発明による基材で連続してもよい。やはり良好な実施形態では、最外層がポリマー材料層系(PP)の層である。
したがって、本発明による基材の一実施形態では、互いの上に固定された複数の透過障壁層系を含む。
本発明による基材の一実施形態では、少なくとも1つの無機材料含有層が、酸化ケイ素を含有し又は酸化ケイ素のものである。
本発明による基材の一実施形態では、ポリマー材料含有層と無機材料含有層との間に、少なくとも1つの特に付着された接合部を含む。接合部は、ポリマー材料含有層のポリマー材料、並びに無機材料含有層の無機材料を含み、これはある実施形態では、PVD又はALD堆積されたものである。したがって、述べられた、特に製造された接合部の材料は、いわゆるオルモサー(有機的に修飾されたセラミックス)になる。一実施形態では、完全な層であり且つ単なる接合部ではない層は、オルモサーの層であってもよい。
本発明による基材の一実施形態では、基材の表面の少なくとも一部が、ポリマー材料含有層の表面である。したがって、構造は:
SS−PP−PVD/ALD−....PP
と示され得る。
本発明による基材の一実施形態では、ポリマー材料含有層の少なくとも1つ又は複数又は更に全てが、プラズマ重合層である。
本発明による基材の一実施形態では、プラズマ重合ポリマー材料含有層、又はポリマー材料含有層の複数若しくは全てが、少なくとも1種の気体の及び少なくとも1種の液体の材料の少なくとも1種から重合される。
本発明による基材の一実施形態では、少なくとも1つのポリマー材料含有層が炭素を含む。一実施形態では、少なくとも1つのプラズマ重合ポリマー材料含有層は、炭素を含有する。
複数のポリマー材料含有層が設けられる場合、そのような層は、異なった状態で重合されてもよく、いくつかは気体材料から、いくつかはそのような液体材料から、それぞれ、且つ/又は異なる気体材料から、且つ/又は異なる液体材料からであってもよいことを理解されたい。
本発明による基材の一実施形態では、少なくとも1つのポリマー材料含有層がケイ素を含有する。それによって一実施形態では、1つのプラズマ重合ポリマー材料含有層が、ケイ素を含有する。
本発明による基材の一実施形態は、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレン、おそらくはこれらの材料の少なくとも2種の混合物の、少なくとも1種から堆積された、ポリマー材料含有層を含み、一実施形態ではプラズマ重合ポリマー材料含有層を含む。
例えばテトラメシルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラメチルオルトシラン(TEOS)等のケイ素含有液体は、取扱いが容易であり、フューズドシリカの場合に類似したケイ素と架橋網状構造との間の特徴的な層をもたらす。
例えば気体として又は液体としてのC、C等の炭化水素は、一般に良好な障壁効果を発揮する、ダイヤモンドライクカーボン(DLC)の場合に類似した架橋網状構造を形成する。
本発明による基材の他の実施形態では、少なくとも1つ又は複数又は全ての無機材料含有層が:酸化ケイ素、窒化ケイ素、酸化アルミニウム、窒化アルミニウム、酸化チタン、窒化チタン、酸化タンタル、窒化タンタル、酸化ハフニウム、又はそれぞれの酸窒化物、又はこれらの混合物の群から選択される少なくとも1種の材料のものである。
特に少なくともいくつか又は更に全ての無機材料含有層が、PECVDによってではなくPVDによって特に堆積される場合、堆積は、十分に画定された固体材料から始まってもよく、スパッタリングターゲットの材料又は蒸着される固体材料であってもよいことに留意されたい。ALD堆積の場合であっても、前駆体の気体は、十分に画定された固体材料の昇華から得てもよい。
本発明による基材の一実施形態では、少なくとも1つ又は複数又は全ての無機材料含有層は、スパッタリングによって堆積される。
本発明による基材の一実施形態では、少なくとも1つ又は複数又は全ての無機材料含有層は、蒸着によって堆積され、良好な実施形態では電子ビーム蒸着によって堆積される。電子ビーム蒸着を利用することによって、酸化ケイ素等の高融解温度を持つ材料が蒸着され得る。これらの層のいくつかは、スパッタリングによって堆積されてもよく、いくつかは蒸着によって堆積されてもよい。
本発明による基材の一実施形態では、少なくとも1つ又は複数又は全ての無機材料含有層が、ALDによって堆積される。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層が、プラズマ助長ALD(PEALD)によって堆積される。それによって反応性ガスが、プラズマの助けを借りて活性化される。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層は、前駆体ガスを用いて第1の工程で、且つ反応性ガスを用いて遠隔的に行われる後続の工程で堆積される。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層は、第1の工程で且つ堆積領域で前駆体ガスを用いて堆積され、後続の工程では、反応性ガスを用いてこの堆積領域で行われる。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層は、ケイ素及び/又は金属を含有する前駆体ガスにより、及び反応性ガスにより堆積される。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層が、ケイ素、アルミニウム、チタン、タンタル、ハフニウムのうちの少なくとも1種を含有する前駆体ガスにより堆積される。
本発明による基材の一実施形態では、少なくとも1つ、又は複数、又は全ての無機材料含有層が、前駆体ガスにより且つ反応性ガスにより堆積され、この反応性ガスは酸素及び窒素のうちの少なくとも1種を含有するものである。
本発明による基材の一実施形態では、透過障壁層系が、水分子に対する透過障壁層系である。
本発明による基材の一実施形態では、透過障壁層系は可視光を通す。
本発明による基材の一実施形態では、透過障壁層系は、基材の表面から開始基材の表面まで電気的に絶縁している。
本発明による基材の一実施形態では、透過障壁層系の少なくとも1つの層は、電気的に絶縁されている。
本発明による及び述べられた基材の2つ又はそれ以上の実施形態は、相互に矛盾がない限り、組み合わせて実現されてもよい。
本発明は更に:
・ 基材キャリア;
・ それぞれが無機材料の供給源を含む、少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバを含む、少なくとも1つの無機材料層堆積ステーション;
・ モノマー供給用の供給ラインシステム及びプラズマ源を備えた少なくとも1つのプラズマ重合チャンバを含む、少なくとも1つのポリマー堆積ステーション;
・ 前記無機材料層堆積ステーション及び前記少なくとも1つのポリマー堆積ステーションからの堆積効果に対する、前記基材キャリアの断続的な曝露を制御するように構成された制御ユニット
を含む、層堆積装置を対象とする。
本発明による層堆積の一実施形態は、少なくとも1つの冷却ステーションを含む。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーションが、前駆体を含有する少なくとも1つの前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに作動的に及び制御可能に流動接続された、気体供給配置構成を含む、少なくとも1つのALD層堆積チャンバを含む。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーションが、少なくとも2つのALD層堆積チャンバを含み、少なくとも2つのALD層堆積チャンバの1つは、前駆体を含有する前駆体リザーバに作動的に及び制御可能に接続された気体供給配置構成を含み、前記ALD堆積チャンバの他方は、反応性ガスを含有する反応性リザーバに作動的に及び制御可能に流動接続された気体供給配置構成を含む。
本発明による層堆積装置の一実施形態では、前記前駆体リザーバからの前駆体ガスが、ケイ素及び金属のうちの少なくとも1つを含有する。
本発明による層堆積装置の一実施形態では、金属が、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種である。
本発明による層堆積装置の一実施形態では、反応性ガスが、酸素及び窒素のうちの少なくとも1種を含有する。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーションが少なくとも1つのALD層堆積チャンバを含み、このALD層堆積チャンバは、レーザ源と、前駆体を含有する少なくとも前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに作動的に流動接続された気体供給配置構成とを含む。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーションが少なくとも2つのALD層堆積チャンバを含み、前記少なくとも2つのALD層堆積チャンバの1つは、前駆体を含有する前駆体リザーバに作動的に接続された気体供給配置構成を含み、前記ALD堆積チャンバの他方は、レーザ源と、反応性ガスを含有する反応ガスリザーバに作動的に接続された気体供給配置構成とを含む。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーションが、少なくとも1つのPVD層堆積チャンバを含む。
本発明による層堆積装置の一実施形態では、PVD層堆積チャンバが、スパッター層堆積チャンバである。
本発明による層堆積装置の一実施形態では、PVD層堆積チャンバが蒸着チャンバであり、一実施形態では電子ビーム蒸着チャンバである。
本発明による層堆積装置の一実施形態では、PVD層堆積チャンバは、少なくとも1種の金属若しくは金属合金の、又はそのような金属若しくは金属合金の酸化物若しくは窒化物若しくは酸窒化物の固体材料供給源を有する。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、互いに離れており、基材キャリアは、これらのステーションの1つからこれらのステーションの次の1つまで、好ましくは真空環境で、制御可能に移動可能である。
本発明による層堆積装置の一実施形態では、少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバ及び/又は少なくとも1つの冷却チャンバは、層堆積操作のために制御可能に密閉可能であり且つ基材取扱いのために開放可能である堆積空間と、前記制御可能に密閉可能であり開放可能な堆積空間に接しているポンピング口とを含む。
本発明による層堆積装置の一実施形態では、モノマー供給用の供給ラインシステムを備え且つプラズマ源を備えた少なくとも1つのプラズマ重合チャンバは、層堆積操作のために制御可能に密閉可能であり且つ基材取扱いのために開放可能である堆積空間と、前記制御可能に密閉可能であり開放可能な堆積空間に接するポンピング口とを含む。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通する堆積領域で層堆積を行う。
本発明による層堆積装置の一実施形態は、基材キャリアの線形移動経路に沿って又はほぼ湾曲した移動経路に沿って又は円形移動経路に沿って、一連の複数対の無機材料層堆積ステーション及びポリマー堆積ステーションを含む。
本発明による層堆積装置の一実施形態は、基材キャリアの線形移動経路に沿って又はほぼ湾曲した移動経路に沿って又は円形移動経路に沿って、述べたばかりの一連の無機材料層堆積ステーションとこの無機材料層堆積ステーションの直ぐ後に続くポリマー堆積ステーションとを含む。
本発明による層堆積装置の一実施形態は、無機材料層堆積ステーションの直ぐ後に冷却ステーションを含む。
本発明による層堆積装置の一実施形態は、少なくとも1つの入力ロードロック及び少なくとも1つの出力ロードロック又は少なくとも1つの二方向入力/出力ロードロックを含む真空装置である。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通の堆積領域に層堆積しており、制御ユニットは、述べられたステーションを断続的に使用可能/使用不可にするように構成される。
本発明による層堆積装置の一実施形態では、少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、相互に離れた領域に堆積されており、制御ユニットは、前記領域間での基材キャリアの移動を制御するように構成される。
本発明による層堆積装置の一実施形態は、無機材料層堆積ステーションとポリマー堆積ステーションとの両方によって、制御された移行期間中に共通の堆積領域で同時に、堆積が可能になるように構成される。
本発明による層堆積装置の一実施形態では、供給ラインシステムが、液体又は気体モノマー材料を含有するリザーバと、制御された状態で流動連通している。
本発明による層堆積装置の一実施形態では、供給ラインシステムが、炭素を含む材料を含有するリザーバと、制御された状態で流動連通している。
本発明による層堆積装置の一実施形態では、供給ラインシステムは、ケイ素を含む材料を含有するリザーバと、制御された状態で流動連通している。
本発明による層堆積装置の一実施形態では、供給ラインシステムは、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンのうちの少なくとも1種を含有するリザーバと、制御された状態で流動連通している。
本発明による層堆積装置の一実施形態では、基材キャリアは、複数の基材及び/又は複数の開始基材を同時に運ぶように構成される。
本発明による層堆積装置の一実施形態では、全ての重合チャンバがプラズマ重合チャンバである。
本発明による層堆積装置の一実施形態は、下記の特長の少なくとも1つを有する:
・ 基材キャリアが、基材及び/開始基材のバッチを運ぶように構成される;
・ 基材キャリアが、複数の単体の基材及び/又は単体の開始基材を運ぶように構成される;
・ 基材キャリアの移動が、基材若しくは開始基材から離れた軸の周りの、且つ/又は基材及び/又は開始基材のそれぞれの中心軸の周りの、回転移動であり;
・ 基材キャリアが、真空環境で提供される。
述べられたように、真空層堆積装置は、少なくとも1つの冷却ステーションを含む。
そのような冷却ステーションは、例えば、その又はある無機材料層堆積ステーションであって特にPVD層堆積チャンバを備えたものに供された直後に、或いは1つの無機材料層堆積ステーションに曝露されるときと、その直後の、引き続き次の無機材料層堆積ステーションに曝露される前との間で、基材を冷却するために提供される。
述べられたように、少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー材料堆積ステーションは、それぞれ、相互に離れた、堆積のために相互に密閉され且つ別々にポンピングされる真空処理チャンバを含む。基材キャリアは、それによって、良好な実施形態では真空環境において、述べられたステーションの1つから次のステーションまで制御可能に移動可能である。
そのような実施形態は、例えば、その周辺に沿って且つ1つのステーションから次のステーションまで多数の単体基材を運ぶように構成された、回転可能なディスク形状の又はリング形状の基材キャリアを含んでいてもよい。それによって、まだ処理されていない開始基材は、最初に真空プラズマ重合ステーション(PPS)に供され、次いで引き続き、無機材料層堆積ステーションPVD/ALDSに供される。
線形、湾曲、又は円形であってもよい、基材キャリアの移動経路に沿ったステーションの配列は、最小限の構成で:
PPS−PVD/ALDS
になる。
上記にて述べられたように、基材の冷却が行われることになる場合、ステーション構造は、冷却ステーションをCSによって述べると:
PPS−PVD/ALDS−CS
又は
PPS−PVD/ALDS1−CS−PVD/ALDS2−CS
になり、
式中、PVD/ALDS1及びPVD/ALDS2は、等しい又は異なる材料を堆積するための無機材料層堆積ステーションを示す。
引き続き、考慮される基材は、他のポリマー材料堆積ステーションに移送されてもよく、次いで引き続き、望む場合には、1つ又は複数の他の無機材料堆積ステーション及びポリマー材料堆積ステーションに移送されてもよく、ステーションの全体的な配列は、良好な手法で、常に、ポリマー材料堆積ステーションにより終了してもよい。
1つ又は複数の又は全てのポリマー材料堆積ステーションは、プラズマ重合ステーションであってもよく、ある場合には、いくつかの又は全てのプラズマ重合ステーションは、真空プラズマを利用しない重合ステーションによって置き換えられてもよい。
したがって、ステーションの下記の配列が主流になる:
PPS−PVD/ALDS−PPS−n(PVD/ALDS−PPS−PVD/ALDS...)−PPS(n≧0)。
冷却が、全てのPVD/ALDSに対して必要である場合、配列は:
PPS−PVD/ALDS−CS−PPS−n(PVD/ALDS−CS−PPS−PVD/ALDS...)−PPS(n≧0)
になる。
述べられたように、例えば真空プラズマ重合ステーションとして構成された無機材料堆積ステーション及びポリマー材料堆積ステーションは、共通の真空処理チャンバ内に設けられる。
バッチ処理システムは、例えば、同時に処理される多数の基材用のキャリアカロッタが、無機材料堆積に並びにポリマー材料堆積に、曝されると見なされてもよい。
無機材料層堆積ステーション及びポリマー材料堆積ステーションが、共通の真空処理チャンバ内で又は個別の別々にポンピングされる処理チャンバ内で、互いに相互に離れている場合、制御ユニットは、基材キャリアの移動のタイミング、おそらくはステーションの使用可能/使用不可のタイミングを制御し、したがってそれぞれの堆積作用に基材を曝すタイミングを制御する。
層堆積システムの一実施形態は、複数対の又は1対よりも多くのPVD層堆積ステーション及び重合ステーションを含む。
層堆積装置が真空装置であり、したがってそれぞれの入力/出力ロードロックを含む場合、おそらくは提供された冷却ステーションを含む全ての処理及び移送チャンバ又はステーションは、真空ステーションである。
少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバは、堆積操作のために制御可能に密閉可能であり且つ基材の取扱いのために開放可能である堆積空間と、制御可能に密閉可能であり開放可能な堆積空間に接するポンピング口とを含むので、且つ/又は、モノマー供給用の供給ラインシステムを備えた及びプラズマ源を備えた少なくとも1つのプラズマ重合チャンバは、層堆積操作のために制御可能に密閉可能であり基材の取扱いのために開放可能である堆積空間と、制御可能に密閉可能であり開放可能な堆積空間に接するポンピング口とを含むので、それぞれの堆積空間の相互交差汚染は実際に排除される。
ALDでのそれぞれの気体の活性化、したがってPEALD堆積プロセスの利用は、処理時間を著しく削減する。
ALDを利用し、それによってPEALDも利用するある場合には、ALDによって、それによってある実施形態にはPEALDによって引き続き堆積される層の接着性を改善するように、基材をまず、反応性ガス雰囲気中、例えば酸化雰囲気中で加工工程に曝すことが必要と考えられることに留意されたい。
矛盾がない場合には、本発明による装置の2つ又はそれ以上を組み合わせてもよい。
本発明は更に、開始基材上に透過障壁系を提供する方法、又は表面透過障壁層系が設けられた基材を製造する方法を対象とする。方法は、
a)開始基材上に、少なくとも1つの無機材料含有層を含む少なくとも1つの無機材料層系を、PVDによって及び/又はALDによって堆積することによって、透過封止を確立する工程;
b)前記開始基材への前記無機材料層系の接着を行い、前記開始基材の直上に少なくとも1つのポリマー材料含有層を含むポリマー材料層系を堆積し、前記ポリマー材料層系の直上に前記無機材料層系を堆積することによって、前記無機材料層系を亀裂封止する工程
を含む。
本発明による方法の一変形例は、堆積されたその又は少なくとも1つのポリマー材料含有層を真空プラズマ重合する工程を含む。
本発明による方法の一変形例では、透過封止を確立する工程は、プラズマ助長ALDを含む。
本発明による方法の一変形例では、少なくとも1つの層は、電気絶縁層を形成するために堆積される。
本発明による方法の一変形例では、透過障壁層系は、可視光を通すために堆積される。
本発明による方法の一変形例では、堆積中の開始基材での温度は所定値を超えず、一変形例では、最高で150℃を超えない。
本発明による方法の一変形例は、無機材料層系の直上に少なくとも1つのポリマー材料含有層を含む、更なるポリマー材料層系を堆積する工程を含む。
本発明による方法の一変形例は、複数のポリマー材料含有層の真空プラズマ重合材料を含む。
本発明による方法の一変形例は、工程a)及びb)の繰返しを含む。
本発明による方法の一変形例は、最後に堆積された無機材料層系の直上に、少なくとも1つのポリマー材料含有層を含む更なるポリマー材料層系を堆積する工程を含む。
本発明による方法の一変形例は、無機材料層系を堆積する少なくとも1つの後又は最中に、基材を冷却する工程を含む。
本発明による方法の一変形例は、酸化ケイ素の無機材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、ポリマー材料含有層の堆積と無機材料含有層の堆積との間に少なくとも1つの材料の接合部を、制御された手法で堆積する工程を含み、この接合部は、堆積されたポリマー材料含有層のポリマー材料、並びに無機材料含有層の無機材料を含む材料のものである。
本発明による方法の一変形例は、気体又は液体材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、炭素を含有する材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、ケイ素を含有する材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンの1種から、少なくとも1つのポリマー材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、酸化ケイ素、窒化ケイ素、酸化アルミニウム、窒化アルミニウム、酸化チタン、窒化チタン、酸化タンタル、窒化タンタル、酸化ハフニウム、又はそれぞれの酸窒化物の少なくとも1種を含む又はこれらの少なくとも1種からなる、少なくとも1つの無機材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、スパッタリングすることによって又は蒸着することによって又は電子ビーム蒸着によって又はALDによって又はプラズマ助長ALDによって、少なくとも1つの無機材料含有層を堆積する工程を含む。
本発明による方法の一変形例は、ALD堆積チャンバ内でのALDによって、少なくとも1つの無機材料含有層を堆積し、前駆体ガス及び反応性ガスを前記ALD堆積チャンバに供給する工程を含む。
本発明による方法の一変形例は、少なくとも2つの後に続くALDチャンバ内でのALDによって、少なくとも1つの無機材料含有層を堆積し、少なくとも2つのALD堆積チャンバの最初のものに前駆体ガスを供給し、少なくとも2つの後続のALD堆積チャンバの第2のものに反応性ガスを供給する工程を含む。
本発明による方法の一変形例では、前駆体ガスがケイ素又は金属を含有する。
本発明による方法の一変形例では、述べられた金属は、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種である。
本発明による方法の一変形例では、反応性ガスは、酸素及び窒素のうちの少なくとも1種を含有する。
本発明による方法の一変形例は、少なくとも1つの層堆積空間に無機材料含有層を堆積し、前記堆積中に前記少なくとも1つの堆積空間を密閉し、前記堆積空間に直接接続されたポンプを用いて前記堆積空間をポンピングする工程を含む。
それによって、無機材料含有層を堆積するための堆積空間への又は堆積空間からの交差汚染が、著しく低減される。
本発明による方法の一変形例は、層堆積空間でポリマー材料含有層を堆積し、前記堆積中に前記堆積空間を密閉し、前記堆積空間に直接接続されたポンプを用いて前記堆積空間をポンピングする工程を含む。
それによって、ポリマー材料含有層を堆積するための堆積空間への又は堆積空間からの交差汚染が、実質的に低減される。
明らかに本発明による方法の一変形例では、一方で無機材料含有層を堆積するための、他方でポリマー材料含有層を堆積するための、両方の堆積空間は、それぞれ堆積操作中に密閉され、別々にポンピングされる。
本発明による方法の一変形例は、水分子の透過を抑制する透過障壁層系を製造する工程を含む。
本発明による方法の一変形例は、真空中で行われる。
本発明による基材、本発明による層堆積装置、並びに本発明による方法の全ての実施形態は、それぞれ、矛盾がない場合には任意の組合せで組み合わせてもよいことに留意しなければならない。
次に本発明について、当業者が必要とする限り、図の助けを借りて更に具体化するものとする。
本発明による方法のフローチャートである。 本発明による層堆積システムの、概略的に且つ単純化された実施形態を示す図である。 本発明による層堆積システムの、概略的に且つ単純化された実施形態を示す図である。 本発明による層堆積システムの、概略的に且つ単純化された実施形態を示す図である。 本発明による層堆積システムの、概略的に且つ単純化された実施形態を示す図である。 本発明による層堆積システムの、概略的に且つ単純化された実施形態を示す図である。 本発明による真空層堆積システムの、概略的に且つ単純化された上面図である。 図7のシステムの、概略的に且つ単純化された断面図である。 例えば図7及び図8のシステムで提供され得る、最も概略的に且つ単純化された閉鎖位置にある冷却ステーションを示す図である。 例えば図7及び図8のシステムで提供され得る、最も概略的に且つ単純化された開放位置にある冷却ステーションを示す図である。 図7及び図8によるシステムに一体化された、概略的に且つ単純化された冷却ステーションを示す図である。 本発明による概略的な基材を示す図である。 本発明による装置で適用可能である、概略的に且つ単純化された一チャンバALD堆積ステーションを示す図である。 本発明による装置で適用可能である、概略的に且つ単純化された二チャンバALD堆積ステーションを示す図である。
図1では、本発明による層堆積装置によって行われ且つ本発明による基材をもたらす、本発明による方法のフローチャートが、時間軸tに対して概略的に示されている。
工程1では、1つの開始基材(本発明により処理される前)又は複数の開始基材が、1バッチ分の開始基材に関して提示されている。工程2では、1つ又は複数の開始基材が、少なくとも1つのプラズマ重合されたポリマー材料含有層を含むポリマー材料含有層系PPで、被覆される。それによって、且つ今日好まれる実施形態では、気体又は液体モノマーがプラズマ重合され、その結果、少なくとも1つのプラズマ重合されたポリマー層が、1つ又は複数の開始基材上に直接堆積される。
液体又は重合される気体若しくは液体モノマーは、炭素と、液体である場合にはケイ素とを含有する。重合される材料、特にプラズマ重合される材料として、TMS又はHMDS(O)又はHMDS(N)又はTEOS又はアセチレン又はエチレンを使用してもよく、それによって、複数のポリマー材料含有層を備えるポリマー材料含有層系が堆積される場合には、述べられたモノマーのそれぞれ異なる層を、次々に使用してもよく、又はそれらの混合物を使用してもよい。更に、ポリマー材料含有層の複数又は全ては、プラズマ重合によって実現されてもよい。
重合材料含有層系の堆積後、工程3において、ポリマー材料含有層系PPの直上に、少なくとも1つの無機材料含有層を含む無機材料含有層系PVD/ALDを堆積する。これはPVD(物理気相成長)堆積によって又はALD(原子層堆積)堆積によって行われる。堆積された無機材料含有無機材料層系は、単一の無機材料含有層という最小限の構成で、構成される。
PVD堆積方法として、スパッタリング、それによればマグネトロンスパッタリング又は蒸着を使用してもよく、それによれば特に電子ビーム蒸着を使用してもよい。それぞれのPVD堆積方法は、非反応的に又は反応的に行ってもよい。例として、工程3で堆積された無機材料は、酸化ケイ素、窒化ケイ素、金属酸化物、金属窒化物、金属酸窒化物、例えば酸化アルミニウム又は窒化アルミニウム等、酸化チタン、窒化チタン、酸化タンタル、窒化タンタル、酸化ハフニウム、又はそれぞれの酸窒化物であってもよい。
無機材料含有層の1つ又は複数、或いはその最小限の構成にあるもの、1つの無機材料含有層が、ALD堆積によって堆積される場合、共に1つのALD処理チャンバに供給され又は後に続くALD処理チャンバに別々に供給される、少なくとも1種の前駆体ガス及び少なくとも1種の反応性ガスが使用される。
反応性ガスは、それによって、プラズマ助長ALDをもたらすプラズマ源を用いて活性化され得る。
前駆体ガスは、一実施形態では、少なくとも1種の金属を含有する。前駆体ガスは、ケイ素、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種を含有してもよい。反応性ガスは、酸素及び/又は窒素を含有してもよい。
無機材料含有層系が、複数の無機材料含有層を含む場合、そのような層は、特にPVD及び/又はALDによって、異なる材料で堆積されてもよいことに留意されたい。
無機材料含有層は、いくらかの量のポリマー材料を含有してもよく、これは一部の適用例において望ましいとも考えられる。
ポリマー材料含有層と無機材料含有層との間に実現された接合部領域には、無機材料並びにポリマー材料の材料が共に存在していてもよい。
開始基材の特定の温度膨張係数は、工程3で体積されるような少なくとも1つの無機材料含有層系PVD/ALDの温度膨張係数とは慣習的に全く異なるので、工程2で堆積されたポリマー材料含有層系PPは、無機材料含有層系PVD/ALDの良好な接着性を提供し、脆弱な無機材料含有層系PVD/ALDでおそらくは生ずる亀裂を封止する。
本発明の一部の適用例において、開始基材には、例えば150℃又はそれよりも低い確定値を超える高温が負荷されるべきではない。したがって、例として、開始基材の材料としてのプリント回路基板は、150℃を超える温度で処理されるべきではない。
そのような場合、それぞれ厚い無機材料含有層を備えたPVD/ALD系の堆積は、追加の措置がないと、許容される温度を超えることによって開始基材の熱的な過負荷をもたらし得る。
そのために、そのような場合には、工程4により破線で図1に示されるように、無機材料含有層系PVD/ALD堆積の工程3の後に冷却工程が設けられる。或いは、又は追加として、且つ図1の右手側に概略的に示されるように、無機材料含有層系PVD/ALDの堆積は、PVD/ALD1、PVD/ALD2等の複数の堆積サブ工程に分割されてもよく、冷却工程は、後続のPVD/ALD系堆積サブ工程の間に導入されてもよい。堆積された無機材料含有層系は、等しい又は異なる無機材料の複数の無機材料含有層を含んでいてもよいので、PVD/ALD1、PVD/ALD2等の工程は、異なる又は等しい無機材料の堆積工程であってもよく、それによっておそらくはPVD及びALD堆積を選択的に利用する。
図1による工程3の終了後、おそらくは冷却工程4の後、開始基材と、その直上に堆積された工程2におけるようなポリマー材料含有層系PPと、そのポリマー材料含有層系PPの直上の、工程3により堆積されるようなPVD/ALD堆積無機材料含有層系PVD/ALDとを含む基材が得られる。いくつかの適用例では、既にこの基材は、更なる使用に十分良好であり得るが、それは1つのポリマー材料含有層系PPと無機材料含有層形PVD/ALDとの組合せが透過障壁系を既に提供するからである。
それにも関わらず、ほとんどの場合、工程3で堆積された無機材料含有層系PVD/ALD上に図1の工程5により更に付着された、更なるポリマー材料含有層系があり、これは工程2での文脈で説明された通りに堆積されたものである。工程5から得られた基材は、慣習上、最小限の構成であるが、それは工程5で堆積されたポリマー材料含有層系PPが、追加の透過封止を提供し、且つその透過が抑制されなければならないそれぞれの分子、特に水分子を吸収する層を提供するからである。
それにも関わらず、堆積工程5の後、1対又は複数対の無機材料含有層系PVD/ALD及びポリマー材料含有層系PPは、工程6による破線で、図1で述べられるように堆積されてもよく、それによって、得られた基材の最外表面を最終的に形成する層は、ポリマー材料含有層である。明らかに、必要な場合には、無機材料含有系PVD/ALDのそれぞれの堆積工程の後又は最中に、工程3での堆積で示された説明と同様に冷却工程を行う。
述べられたように、図1の助けを借りて説明された工程の配列は、処理工程のそのような配列を行う層堆積装置の構成とは無関係に、本発明により行わる。
述べられた方法のほとんどの適用例に関し、堆積された層系全体は、得られた基材の最外表面と、第1のPP層系が堆積されている開始基材の表面との間で電気的に絶縁されていると見なされる。したがって、例えば、堆積された層の少なくとも1つは電気的に絶縁されている。
更に再び、方法の頻繁な適用例に関し、層の積層体全体は可視光を通し、おそらくは開始基材も通す。
今日、ポリマー材料含有層系PP及び無機材料含有層系PVD/ALDは、50nmから300nmの間の全厚を有する。
下記の表では、図1の助けを借りて説明されるように、本発明により行われる種々のプロセスフローが具体化され、本発明による基材が得られる。それによれば、ALD−aは、少なくとも1種の前駆体ガスを用いたALD堆積工程を述べており、ALD−bは、反応性ガス雰囲気中での後続の反応工程を述べており、一実施形態では、プラズマ源のプラズマによって改善される。プロセスフロー5、6、及び8では、ALD−a及びALD−bの両方のALD工程が単一処理ステーションで行われ、それに対してプロセスフロー7によれば、これらのALD工程は異なる処理ステーションで行われることに留意されたい。表示nは、枠内の配列を複数回繰り返してもよいことを意味する。
Figure 2020528107
いくつかの材料の組合せでは、ALD堆積層の接着性が改善されるように、ALD−a工程を行う前に、おそらくはプラズマ助長された反応性ガス雰囲気中で処理工程を行うことが推奨可能と考えられる。これはALD−b工程を行うことに類似している。
処理工程の交差汚染が最小限に抑えられるように、それぞれの処理チャンバの少なくともいくつか、特にPP堆積用及び/又はPVD堆積用及び/又はALD堆積用及び/又は冷却用のチャンバを、別々にポンピングし、堆積操作中に密閉する。
最も概略的に且つ単純化された図2は、層堆積システムの実施形態を示し、ここには、図1の文脈で述べられた工程の配列又はプロセスフローを行う真空層堆積システムがある。
図2の実施形態では、真空プラズマ重合ステーションPPS8と、無機材料堆積ステーションPVD/ALDS10とが提供される。ステーション8及び10は共に、基材キャリア14上の開始基材12上で、それぞれの層堆積を行う。それによって、概略的に示されるように、両方の層堆積が共通の真空処理チャンバ16内で、共通領域Dで行われる。処理チャンバ16は、ポンピング配置構成18によってポンピングされる。
プラズマ重合ステーション8は、弁配置構成203を介して、概略的に示されるように制御された、気体又は液体モノマー材料を含有するモノマー源201から、制御された手法で供給される。
無機材料堆積ステーション10がPVD堆積ステーションである場合、堆積が単に固体材料供給源から、例えば単にスパッタリングターゲットから行われるか否か、又は反応性ガス若しくはガス混合物を含む固体材料供給源からの反応材料を含めて行われるか否かに応じて、無機材料堆積ステーション10には、弁配置構成207 PVDによって、概略的に示されるように制御された、205 PVDで概略的に示されるように、反応性ガス又はガス混合物が供給される。
無機材料堆積ステーション10がALD堆積ステーションである場合、前駆体ガスが、弁配置構成211ALを介してタンク配置構成209ALから堆積ステーション10に、概略的に示されるように制御された手法で供給される。更に堆積では、反応性ガス又はガス混合物が、弁配置構成215ALによって概略的に示されるように、制御された手法でタンク配置構成213ALから堆積ステーション10に供給される。
図1の時間系列を行うために制御ユニット20が設けられ、それが、スイッチSによって概略的に示されるように、プラズマ重合ステーション8又はPVD/ALD堆積ステーション10のいずれかを使用可能にし、それによって(図示せず)、弁配置構成203を制御することにより、おそらくは207PVD又は203及び211AL及び215ALを制御することにより、それぞれの気体供給の時間系列を制御する。処理チャンバ16は、反応性PVD堆積プロセスの場合にはモノマー材料の供給と反応性ガスの供給のとの間で、又はALD体積プロセスに対してはモノマー材料の供給、前駆体ガスの供給、及び/又は反応性ガスの供給の間で、フラッシングガス(図示せず)でフラッシングすることが必要と考えられる。
複数バッチ分の開始基材を処理しなければならない場合、即ち、例えばドーム又はカロッタ形状の上に配置された多数の開始基材を含むものを処理しなければならない場合、組み合わされたプラズマ重合PPSステーションと無機材料堆積ステーションPVD/ALDとのこの構造が特に適しており、チャンバ16内で基材キャリアを回転させる。そのようなキャリア上の基材は更に、基材の中心軸の周りを回転させてもよい。それによって、特にこの場合、蒸着によってPVD無機材料堆積を行うこと、特に且つ蒸着される固体材料に応じて、電子ビーム蒸着を用いて行うことが有利と考えられる。
液体又は気体モノマー材料は、基材キャリア近くの処理チャンバ16に供給され、プラズマ源を用いてプラズマ重合される。蒸着される坩堝材料は、PPSステーションの動作中に可動シャッタの配置構成によってポリマー材料から保護されてもよく、逆に、PVDSステーションの動作中は、プラズマ源を、それぞれの可動シャッタによって無機材料堆積から保護してもよい。
図3は、述べられた実施形態を概略的に示す。無機材料堆積ステーション10は、電子ビーム蒸着ステーション10PVDによって実現される。プラズマ重合ステーション8は、上記にて述べられたように、プラズマ源21と、1種又は複数の気体又は液体モノマーを含有するタンク配置構成24に対して制御された流動連通状態にあるモノマー供給ラインシステム22とによって、実現される。基材キャリア14は、その中心軸A14の周りを回転するバッチキャリアドーム又はカロッタ14によって実現される。バッチキャリア14上の基材15は更に、それぞれの基材中心軸A15の周りを回転してもよい。
26の破線で示されるように、使用不可サイクル中にステーション10PVD並びにプラズマ源21をそれぞれ保護するため、可動シャッタ配置構成を設けてもよい。
この場合、無機材料堆積のための蒸着の利用は、図1で述べられたように冷却工程を必要としなくてもよい。
図4は、やはり最も単純化され且つ概略的に、本発明による層堆積装置のその他の構造の実施形態を示し、これはやはり、真空層堆積装置として実現されたものであり、図1による文脈で述べられた方法又は工程の配列を行う。
図2及び図3の実施形態とは対照的に、図4の実施形態では、PPSステーション8及びPVD/ALDSステーション10は、I、II、IIIにより示されるように異なる堆積領域で堆積を行う。開始基材12又は開始基材12の配列は、基材キャリア14によって1つの堆積領域、例えばIから、次の領域、例えばIIに移送される。破線で示されるように、基材12の走行路Pに沿って且つ図1による文脈で既に述べられたように、基材上で層堆積を行う最後のステーションは、有利にはPPSステーション8である。異なる堆積領域I、II...に堆積を行うが、堆積ステーション8、10等は、共通の全処理チャンバ16で動作する。図2及び図3の実施形態とは対照的に、基材12は、1つの堆積ステーションから次の堆積ステーションに移動し、基材キャリアは、線形経路に沿って又はほぼ湾曲した経路に沿って又は円形経路Pに沿って、制御された手法で、相応に移動可能である。制御ユニット(図4に図示せず)は、堆積ステーションの可能性ある断続的な使用可能性、及び基材キャリア14の移送運動を制御する。
この実施形態の構造は、特に単一基材処理に適しており、1つ又は複数の無機材料堆積ステーション10は、良好な実施形態では、それぞれスパッタリング源又はALDのいずれかによって実現される。この場合、図1による文脈で述べられた冷却が、必要になる可能性がある。必要に応じて且つ図1を念頭に置いて、冷却ステーション(図4には図示せず)は、特にスパッタリングが適用される場合に設けられるように、無機材料堆積ステーション10又は後続の任意のそのような追加のステーションの下流に設けられる。
気体の又は液体のそれぞれ制御された供給物、及びこれらの供給物の時間系列を制御するタイミング制御ユニットは、図4、図5から図8に図示されず、図2の実施形態と同様に実現されることに留意されたい。
今日、やはり真空層堆積装置として実現される且つ本発明による、層堆積装置の好まれる構造を、図5に概略的に且つ最も単純化して示す。
図5の構造の実施形態では、1つ又は複数のPPSポリマー堆積ステーション8、及び1つ又は複数の無機材料堆積ステーションPVD/ALDS10、並びに図1に関する説明によりおそらくは設けられた1つ又は複数の冷却ステーション(図5に図示せず)は、ポンプ58によって概略的に示されるように別々にポンピングされる、したがってそれぞれの動作状態で相互に密閉もされる、それぞれの処理チャンバ56によって提供される。多数の基材52を扱う基材キャリア54は、線形、湾曲、又はある実施形態では円形であってもよいトラックPに沿って、制御可能に移動可能である。基材キャリア54は、ポンピング配置構成62によってポンピングされる真空移送チャンバ60内で動作する。
特に、無機層堆積がPVDにより、それによって特にスパッタリングにより行われる場合、図1による文脈で述べられたような冷却工程とそれに相応した冷却チャンバ又は冷却ステーションを設けることは、熱に敏感な開始基材又はより一般的な基材を処理するときに必要になる可能性がある。
無機材料の堆積、又は無機材料の堆積の1つが、ALDによって行われる場合、図13及び図14を念頭に置いて次に述べられる、主に2つの方法が可能である。
図13の実施形態によれば、ALD堆積ステーションとして実現された堆積ステーション10は、ポンピング配置構成222によってポンピングされた単一処理チャンバ220を含む。前駆体ガス並びに反応性ガスは共に、処理チャンバ220に供給される。それによって前駆体ガスは、ガスタンク配置構成209ALから、制御された弁配置構成211ALを介して処理チャンバ220に供給され、反応性ガスは、ガスタンク配置構成213ALから、制御された弁配置構成215ALを介して処理チャンバ220に供給される。それぞれのガス供給物の、及び(図示せず)おそらくはフラッシング又は濯ぎガスの供給の、時間系列は、時間制御ユニット20によって制御される。
図14の実施形態によれば、堆積ステーションALDSとして実現された堆積ステーション10は、それぞれのポンピング配置構成228及び230によって各々ポンピングされる少なくとも2つの処理チャンバ224及び226を含む。チャンバの交差汚染を最小限に抑えるため、チャンバは動作中、相互に密閉可能である。前駆体ガスは、ガスタンク配置構成209ALから、制御された弁配置構成211ALを介して処理チャンバ224に供給される。反応性ガスは、ガスタンク配置構成213ALから、制御された弁配置構成215ALを介して処理チャンバ226に供給される。それぞれのガス供給物の及び(図示されない)おそらくはフラッシング又は濯ぎガスの供給物の時間系列は、タイミング制御ユニット20によって制御される。
全ての実施形態において、重合した材料の堆積が、無機材料を堆積するための堆積領域から離れた堆積領域で行われる場合、ALDSステーションとして実現されたステーション10は、図13により又は図14により構成されてもよい。
本発明による、及びそれによって図4又は図5にもよる真空層堆積装置の一般的な構造は、種々の、より特異的な構造で実現されてもよい。基材は、図3のA15と同様に、それらの中心軸の周りを回転してもよく又は回転しなくてもよい(図示せず)。
もう1つの特定の装置の構造を、図6に概略的に示す。ここで基材キャリア64は、軸A64を中心として制御可能に回転可能なカルーセル又はドラムである。基材65は、それらの基材平面が軸A64に平行な状態で、基材キャリア64の周辺に沿って配置構成され保持される。
PPSステーション8及び無機材料堆積ステーション10、PVD/ALODSは、回転する基材キャリア64の軌道経路に沿って静止状態で設けられる。ステーションの方位間隔は、基材キャリア64条の基材の方位間隔に一致する。堆積ステーション8、10は、主な堆積方向Bで、軸A64に対して半径方向に配置構成される。明らかに、必要に応じて、1つ又は複数の冷却ステーションが設けられ、(図示せず)入力/出力ロードロックの配置構成が得られる。図6の実施形態のステーションは、図5の実施形態に場合のように別々にポンピングされてもよく、したがって相互に密閉可能であり、又は基材キャリア64を静止状態で取り囲む共通の真空槽内に設けられてもよく、これは図4の一般的表示に一致する。ここでも基材は、図3の装置構造の軸A15と同様に、中心軸の周りを回転してもよい。
今日の好ましい構造では、真空層堆積装置は、出願人のWO 2010/105967に開示されるように構成される。堆積工程、特にPVD無機材料層堆積工程は、おそらくは相互接続された冷却ステーションと共にそれぞれのステーションで行われる2つ又は2つよりも多くの等しい堆積工程に分割されてもよい。プロセス分割の一般的手法に関し、本発明者等は、出願人のWO 2010/106012の開示に言及し得る。
それにも関わらず、そのような今日好ましい真空層堆積装置を、図7及び図8に概略的に且つ単純化して示す。単一基材72は、図8の単純化された断面表示に示されるように、ディスク形状の基材キャリア74上に保持される。
基材72は、基材平面が基材キャリア74の回転軸A30に直交する状態で、基材キャリア74上に堆積される。基材キャリア74上の基材72の円形経路に位置合わせして、図7に示されるように、それぞれの数のPPSステーション8及びPVD/ALDSステーション10が、軸A30に平行な主な堆積方向Bに設けられる。基材キャリア74は、真空移送チャンバ76で動作する。静止ステーション8及び10は、基材キャリア74上の基材72の方位間隔に等しい方位間隔を有する。二方向ロードロックステーションLL9が設けられ、そこでは未処理の開始基材が例えば周囲から真空移送チャンバ76内に、且つ基材キャリア74上に供給され、それに対して処理された基材は、基材キャリア74から例えば周囲へとアンロードされる。
ステーション8、10は、ポンプ79によって別々にポンピングされ、基材キャリア74から密閉枠との係合へと、リフト配置構成102を用いて基材72を制御可能に持ち上げることによって相互に密閉可能であり、それによって、それぞれの堆積チャンバが密閉されることに留意されたい。
無機材料の堆積がALDによって行われ、且つそれぞれの堆積ステーション10が図14の実施形態により実現される場合、図4、図5、図6、図7、及び図8の実施形態では、それぞれのALDSステーションが、少なくとも2つの続けて働く別々にポンピングされた且つ相互に密閉可能な処理チャンバによって実現される。
本発明による堆積ステーションを設けることを例外として、WO 2010/106012は、本発明による文脈で使用され得る装置の一般的構造を開示する。
必要である場合、且つ図1の文脈で既に述べられたように、PVD無機層堆積の後又は最中に基材の冷却を行うには、出願人のWO 2016/091927で論じられるも冷却チャンバを、図5から図8、図13、図14の文脈で述べられた装置に統合する。
WO 2016/091927には、冷却器真空チャンバが開示される。冷却器チャンバを、図9(閉鎖位置)及び図10(開放位置)で概略的に示す。冷却器チャンバのそのような原理は、図7及び図8に特に示されるシステムに、1つ又は複数の冷却チャンバとして統合するのに完全に適している。この真空冷却チャンバは、基材から、冷却されたクラム型冷却チャンバの包封壁への熱伝達を著しく上昇させるため、熱伝導ガスにより、例えばヘリウムにより加圧されてもよい。
図11は、そのような冷却チャンバ又はステーションを図7及び図8に示される装置に統合する、最も概略的に単純化された可能性ある手法を示す。
そのような冷却ステーション100では、堆積ステーション又はチャンバと協働するようにも設けられたリフト配置構成102によって(図7及び図8参照)、基材72が基材キャリア74から持ち上げられる。基材キャリア74用の真空移送チャンバ104に関し、基材72の持ち上げは、薄い、密閉された冷却区画106を確立し、基材72が冷却クラム部材108付近に存在する。少なくとも1つの冷却部材108は、例えば、冷却チャネルシステム110内を循環する液体冷却媒体を用いて冷却される。熱伝導ガス、例えばヘリウムが、冷却区画内に供給されてもよい。持ち上げることが可能であり且つ基材72を保持する基材キャリア74のその部分74aは、やはり必要に応じて能動的に冷却され得るリフト配置構成102との直接接触によって冷却される。
多数の対の重合材料含有層系及び無機材料含有層系が、開始基材上に堆積されなければならない場合、即ち堆積サイクルを少なくとも1回繰り返すために、これらの系の複数回の堆積を行うことが必要と考えられる。これは図7及び図8の基材キャリア74と図6の64との複数回の360°回転によって、行ってもよい。
図12には、最も概略的に、本発明による透過障壁層形を備えた且つ本発明の方法により製造された、基材が示されている。
開始基材90は、90の破線で示されるように、薄層によって既に覆われていてもよく又は覆われていなくてもよい。開始基材90は、プラズマ重合材料の層系PP92によるその拡張表面Su少なくとも一部に沿って、直接覆われる。プラズマ重合材料のPP層系92は、単層化又は多層化されていてもよく、それによって複数層の種々の重合材料は、重合材料層系92の一部になってもよい。
重合材料を含有するPP層系92の直上には、1種又は複数のPVD及び/又はALD堆積無機材料の無機材料含有層系94が設けられる。この場合も、無機材料含有層系94は、単一PVD又はALD堆積無機材料層、或いは等しい又は異なる無機材料の複数のPVD及び/又はALD堆積無機材料層からなるものであってもよい。
最小限の基材構成において、系96の最外層は、重合材料の層である。層系96は、無機材料層系94上に直接存在する。
元の図1に目を向けると、PP堆積からPVD又はALD堆積に移行し或いはその逆のPVD又はALD堆積からPP堆積に移行するとき、ポリマー材料並びに無機材料が同時に堆積される移行期間、即ちこの期間中に同時に且つ同じ堆積領域でそれぞれの堆積ステーションを動作させることによって堆積される、移行期間を提供することが可能である。
図12を念頭に置くと、これは無機材料並びに重合材料が様々な濃度で存在する材料接合部93をもたらす。図12による最小限の構造には、更なるPVD/ALD堆積無機材料含有層系が、且つ更なるPP重合材料含有層系が、即ち層系96上に例えば:
PVD/ALD−PP−PVD/ALD−...PP...
による配列で設けられてもよい。
一般に、例えばALDによって堆積された無機材料の層内に、いくらかの量の重合材料を提供することが有利と考えられる。
層系92、94、96等の全体が電気的に絶縁される場合、これは十分に電気的に絶縁されている層の1つ又は複数を設けることによって実現されてもよい。
更に、開始基材上に付着された全ての層は、可視光を通すように選択されてもよい。
本発明の全ての態様を開示する目的で、これらの態様を以下にまとめる。
1)・ 開始基材;
・ 少なくとも1つのプラズマ重合ポリマー材料含有層を含み、前記開始基材上に直接存在する、ポリマー材料層系;
少なくとも1つのPVD又は少なくとも1つのALD堆積無機材料含有層を含み、前記ポリマー材料層系上に直接堆積された、無機材料層系
を含む透過障壁層系
を含む基材。
2)少なくとも1つの更なるポリマー材料含有層を含み、前記無機材料層系上に直接堆積された、少なくとも1つの更なるポリマー層系を更に含む、態様1の基材。
3)前記開始基材が、1つ又は複数の開始基材層を含み、前記ポリマー材料層系が、前記開始基材層の最外部上に堆積される、態様1又は2の基材。
4)前記開始基材が、下記の特長:
・ 最も一般的に、加工品である;
・ 平板様形状を有する;
・ 電気デバイスである;
・ 熱に敏感な、例えば150℃よりも高く又はそれよりも低い温度に敏感な材料を含む;
・ プリント回路基板材料を含む
の少なくとも1つを有する、態様1から3の1つの基材。
5)前記1つの透過障壁系上に直接存在する、前記透過障壁層系の少なくとも1つのその他を含む、態様1から4の1つの基材。
6)酸化ケイ素を含有する又は酸化ケイ素からなる少なくとも1つの無機材料含有層の、態様1から5の1つの基材。
7)ポリマー材料含有層と無機材料含有層との間に少なくとも1つの接合部を含み、前記接合部が、前記無機材料含有層の無機材料並びに前記ポリマー材料含有層のポリマー材料を含む、態様1から6の1つの基材。
8)前記基材の表面が、ポリマー材料含有層の表面である、態様1から7の1つの基材。
9)複数のポリマー材料含有層を含み、複数の又は全てのポリマー材料含有層がプラズマ重合層である、態様1から8の1つの基材。
10)前記少なくとも1つのプラズマ重合層、又は複数の若しくは全てのポリマー材料含有層が、少なくとも1種の気体材料及び少なくとも1種の液体材料のうちの少なくとも1つから重合される、態様1から9の1つの基材。
11)少なくとも1つのポリマー材料含有層が炭素を含有する、態様1から10の1つの基材。
12)前記少なくとも1つのポリマー材料含有層が炭素を含有する、態様1から11の1つの基材。
13)少なくとも1つのポリマー材料含有層がケイ素を含有する、態様1から12の1つの基材。
14)前記プラズマ重合ポリマー材料含有層がケイ素を含有する、態様1から13の1つの基材。
15)テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンのうちの少なくとも1種から堆積されたポリマー材料含有層を含む、態様1から14の1つの基材。
16)テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンのうちの少なくとも1種から堆積されたプラズマ重合ポリマー材料含有層を含む、態様1から15の1つの基材。
17)少なくとも1つの無機材料含有層が:酸化ケイ素、窒化ケイ素、酸化アルミニウム、窒化アルミニウム、酸化チタン、窒化チタン、酸化タンタル、窒化タンタル、酸化ハフニウム、又はそれぞれの酸窒化物、又はこれらの混合物の群から選択される少なくとも1種の材料を含有する、態様1から16の1つの基材。
18)少なくとも1つ又は複数又は全ての無機材料含有層が、スパッタリングによって堆積される、態様1から17の1つの基材。
19)少なくとも1つ又は複数又は全ての無機材料層が、蒸着によって、好ましくは電子ビーム蒸着によって堆積される、態様1から18の1つの基材。
20)少なくとも1つ又は複数又は全ての無機材料含有層がALDによって堆積される、態様1から19の1つの基材。
21)少なくとも1つ又は複数又は全ての無機材料含有層が、プラズマ助長ALD(PEALD)によって堆積される、態様1から20の1つの基材。
22)前記少なくとも1つ又は複数又は全ての無機材料含有層が、第1の工程では前駆体ガスを用いて、且つ離して行われる後続の工程では反応性ガスを用いて堆積される、態様20又は21の1つの基材。
23)前記少なくとも1つ又は複数又は全ての無機材料含有層が、第1の工程で且つ堆積領域に前駆体ガスを用いて堆積され、後続の工程では、前記堆積領域に、反応性ガスを用いて行われている、態様20又は21の1つの基材。
24)前記少なくとも1つ又は複数又は全ての無機材料含有層が、ケイ素及び/又は金属を含有する前駆体ガスで、及び反応性ガスで堆積される、態様20から23の1つの基材。
25)前記少なくとも1つ又は複数又は全ての無機材料含有層が、ケイ素、アルミニウム、チタン、タンタル、ハフニウムのうちの少なくとも1種を含有する前駆体ガスで堆積される、態様20から24の1つの基材。
26)前記少なくとも1つ又は複数又は全ての無機材料含有層が、前駆体ガスで及び反応性ガスで堆積され、前記反応性ガスが、酸素及び窒素のうちの少なくとも1種を含有する、態様20から25の1つの基材。
27)前記透過障壁層系が、水分子用の透過障壁層系である、態様1から26の1つの基材。
28)前記透過障壁層系が可視光を通す、態様1から26の1つの基材。
29)前記透過障壁層系が、前記基材の表面から前記開始基材の表面まで、電気的に絶縁されている、態様1から28の1つの基材。
30)前記透過障壁層系の少なくとも1つの層が電気的に絶縁されている、態様1から29の1つの基材。
31)・ 基材キャリア;
・ それぞれが無機材料の供給源を含む、少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバを含む、少なくとも1つの無機材料層堆積ステーション;
・ モノマー供給用の供給ラインシステム及びプラズマ源を備えた、少なくとも1つのプラズマ重合チャンバを含む、少なくとも1つのポリマー堆積ステーション;
・ 前記無機材料層堆積ステーション及び前記少なくとも1つのポリマー堆積ステーションからの堆積作用に対する、前記基材キャリアの断続的な曝露を制御するように構成された、制御ユニット
を含む、層堆積装置。
32)少なくとも1つの冷却ステーションを含む、態様31の層堆積装置。
33)少なくとも1つの無機材料層堆積ステーションが、少なくとも前駆体を含有する前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに、作動的に流動接続されたガス供給配置構成を含む、少なくとも1つのALD層堆積チャンバを含む、態様31又は態様32の1つの層堆積装置。
34)少なくとも1つの無機材料層堆積ステーションが、少なくとも2つのALD層堆積チャンバを含み、前記少なくとも2つのALD層堆積チャンバの1つが、前駆体を含有する前駆体リザーバに作動的に接続されたガス供給配置構成を含み、前記ALD堆積チャンバの他方が、反応性ガスを含有する反応性ガスリザーバに作動的に接続されたガス供給配置構成を含む、態様31から33の1つの層堆積装置。
35)前記前駆体リザーバからの前駆体ガスが、ケイ素及び金属のうちの少なくとも1つを含有する、態様33又は34の1つの層堆積装置。
36)前記金属が、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種である、態様35の層堆積装置。
37)前記反応性ガスが、酸素及び窒素のうちの少なくとも1種を含有する、態様33から36の1つの層堆積装置。
38)少なくとも1つの無機材料層堆積ステーションが、レーザ源、前駆体を含有する少なくとも前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに作動的に流動接続されたガス供給配置構成を含む、少なくとも1つのALD層堆積チャンバを含む、態様31から37の1つの層堆積装置。
39)少なくとも1つの無機材料層堆積ステーションが、少なくとも2つのALD層堆積チャンバを含み、前記少なくとも2つのALD層堆積チャンバの1つが、前駆体を含有する前駆体リザーバに作動的に接続されたガス供給配置構成を含み、前記ALD堆積チャンバの他方が、レーザ源と、反応性ガスを含有する反応性ガスリザーバに作動的に接続されたガス供給配置構成とを含む、態様31から38の1つの層堆積装置。
40)少なくとも1つの無機材料層堆積ステーションが、少なくとも1つのPVD層堆積チャンバを含む、態様31から39の1つの層堆積装置。
41)前記PVD層堆積チャンバが、スパッタリング層堆積チャンバである、態様40の層堆積装置。
42)前記PVD層堆積チャンバが、蒸着チャンバ又は電子ビーム蒸着チャンバである、態様40の層堆積装置。
43)前記PVD層堆積チャンバが、少なくとも1種の金属若しくは金属合金、又はそのような金属若しくは金属合金の酸化物若しくは窒化物若しくは酸窒化物の固体材料供給源を有する、態様40から42の1つの層堆積装置。
44)少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、互いに離れており、前記基材キャリアが、これらのステーションの1つからこれらのステーションの次の1つまで、好ましくは真空環境で、制御可能に移動可能である、態様31から43の1つの層堆積装置。
45)少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバが、堆積操作用に制御可能に密閉可能であり且つ基材の取扱い用に開放可能である堆積空間と、前記制御可能に密閉可能であり且つ開放可能である堆積空間に接するポンピング口とを含む、態様31から44の1つの層堆積装置。
46)モノマー供給用の供給ラインシステムを備え且つプラズマ源を備えた少なくとも1つのプラズマ重合チャンバが、層堆積操作用に制御可能に密閉可能であり且つ基材の取扱い用に開放可能である堆積空間と、前記制御可能に密閉可能であり且つ開放可能である堆積空間に接するポンピング口とを含む、態様31から45の1つの層堆積装置。
47)少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通の堆積領域で堆積を行う、態様31から46の1つの層堆積装置。
48)前記基材キャリアの線形移動経路に沿って、又はほぼ湾曲した移動経路に沿って、又は円形移動経路に沿って、一連の複数対の無機材料層堆積ステーション及びポリマー堆積ステーションを含む、態様31から47の1つの層堆積装置。
49)前記基材キャリアの線形移動経路に沿って、又はほぼ湾曲した移動経路に沿って、又は円形移動経路に沿って、一連の無機材料層堆積ステーションと、無機材料層堆積ステーションの直ぐ後に続くポリマー堆積ステーションとを含む、態様31から48の層堆積装置。
50)無機材料層堆積ステーションの直ぐ後に続いて冷却ステーションを含む、態様31から49の1つの層堆積装置。
51)少なくとも1つの入力ロードロック、及び少なくとも1つの出力ロードロック、又は少なくとも1つの二方向入力/出力ロードロックを含む、真空装置である、態様31から50の1つの層堆積装置。
52)少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通の堆積領域上に堆積しており、制御ユニットが、述べられたステーションを断続的に使用可能/使用不可にするように構成されている、態様31から51の1つの層堆積装置。
53)少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、相互に離れた領域に堆積しており、制御ユニットが、前記領域間での前記基材キャリアの移動を制御するように構成されている、態様31から52の1つの層堆積装置。
54)制御された移行期間中、無機材料層堆積ステーション及びポリマー堆積ステーションの両方によって同時に、共通の堆積領域での堆積を可能にするように構成されている、態様31から53の1つの層堆積装置。
55)前記供給ラインシステムが、液体又は気体モノマー材料を含有するリザーバに、制御された状態で流動連通している、態様31から54の1つの層堆積装置。
56)前記供給ラインシステムが、炭素を含む材料を含有するリザーバに、制御された状態で流動連通している、態様31から55の1つの真空層堆積装置。
57)前記供給ラインシステムが、ケイ素を含む材料を含有するリザーバに、制御された状態で流動連通している、態様31から56の1つの層堆積装置。
58)前記供給ラインシステムが、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンのうちの少なくとも1種を含有するリザーバに、制御された状態で流動連通している、態様31から57の1つの層堆積装置。
59)前記基材キャリアが、複数の基材及び/又は複数の開始基材を同時に運ぶように構成されている、態様31から58の1つの層堆積装置。
60)全ての重合チャンバがプラズマ重合チャンバである、態様31から59の1つの層堆積装置。
61)下記の特長:
・ 基材キャリアが、バッチ分の基材及び/又は開始基材を運ぶように構成されている;
・ 基材キャリアが、複数の単一基材及び/又は単一の開始基材を運ぶように構成されている;
・ 基材キャリアの移動が、基材若しくは開始基材から離れた軸の周りの、及び/又は基材若しくは開始基材のそれぞれの中心軸の周りの回転移動である;
・ 基材キャリアが、真空環境内に設けられている
の少なくとも1つを有する、態様31から60の1つの層堆積装置。
62)開始基材上に透過障壁層系を設ける、又は表面透過障壁層系が設けられた基材を製造する方法であって:
a)開始基材上に、少なくとも1つの無機材料含有層を含む少なくとも1つの無機材料層系を、PVDによって及び/又はALDによって堆積することにより、透過封止を確立する工程;
b)前記開始基材に対する前記無機材料層系の接着をもたらし、前記開始基材の直上に、少なくとも1つのポリマー材料含有層を含むポリマー材料層系を堆積し、前記ポリマー材料層系の直上に前記無機材料層系を堆積することによって、前記無機材料層系の亀裂を封止する工程
を含む方法。
63)前記ポリマー材料含有層の、又はポリマー材料含有層の少なくとも1つの材料を、真空プラズマ重合する工程を含む、態様62の方法。
64)前記透過封止を確立する工程が、プラズマ助長ALDを含む、態様62又は63の方法。
65)少なくとも1つの層が、電気絶縁層から堆積される、態様62から64の1つの方法。
66)前記透過障壁層系が、可視光を通すように堆積される、態様62から65の1つの方法。
67)前記堆積中の、開始基材での温度が所定値を超えない、好ましくは最高で150℃を超えない、態様62から66の1つの方法。
68)前記無機材料層系の直上に、少なくとも1つのポリマー材料含有層を含む更なるポリマー材料層系を堆積する工程を含む、態様62から67の1つの方法。
69)複数のポリマー材料含有層の材料を、真空プラズマ重合する工程を含む、態様62から68の1つの方法。
70)前記工程a)及びb)を繰り返す工程を含む、態様62から69の1つの方法。
71)最後に堆積された無機材料層系の直上に、少なくとも1つのポリマー材料含有層を含む更なるポリマー材料層系を堆積する工程を含む、態様62から70の方法。
72)無機材料層系を堆積する少なくとも1つの後又は最中に、前記基材を冷却する工程を含む、態様62から71の1つの方法。
73)酸化ケイ素の無機材料含有層を堆積する工程を含む、態様62から72の1つの方法。
74)ポリマー材料含有層を堆積する工程と、無機材料含有層を堆積する工程との間に、少なくとも1つの材料接合部を、制御された手法で堆積する工程を含み、前記接合部は、前記堆積されたポリマー材料含有層のポリマー材料並びに前記無機材料含有層の無機材料を含む材料のものである、態様62から73の1つの方法。
75)気体又は液体材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む、態様62から74の1つの方法。
76)炭素を含有する材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む、態様62から75の1つの方法。
77)ケイ素を含有する材料から、少なくとも1つのポリマー材料含有層を堆積する工程を含む、態様62から76の1つの方法。
78)テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンの1種から、少なくとも1つのポリマー材料含有層を堆積する工程を含む、態様62から77の1つの方法。
79)酸化ケイ素、窒化ケイ素、酸化アルミニウム、窒化アルミニウム、酸化チタン、窒化チタン、酸化タンタル、窒化タンタル、酸化ハフニウム、又はそれぞれの酸窒化物の少なくとも1種を含む、又はこれらの少なくとも1種からなる、少なくとも1つの無機材料含有層を堆積する工程を含む、態様62から78の1つの方法。
80)スパッタリングによって、又は蒸着によって、又は電子ビーム蒸着によって、又はALDによって、又はプラズマ助長ALDによって、少なくとも1つの無機材料含有層を堆積する工程を含む、態様62から79の1つの方法。
81)ALD堆積チャンバ内で、ALDによって、少なくとも1つの無機材料含有層を堆積し、前記ALD堆積チャンバに前駆体ガス及び反応性ガスを供給する工程を含む、態様62から80の1つの方法。
82)少なくとも2つの後続するALD堆積チャンバ内で、ALDによって、少なくとも1つの無機材料含有層を堆積し、前記少なくとも2つのALD堆積チャンバの第1に前駆体ガスを供給し、前記少なくとも2つの後続するALD堆積チャンバの第2に反応性ガスを供給する工程を含む、態様62から81の1つの方法。
83)前記前駆体ガスが、ケイ素又は金属を含有する、態様81又は82の1つの方法。
84)前記金属が、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種である、態様83の方法。
85)前記反応性ガスが、酸素及び窒素のうちの少なくとも1種を含有する、態様81から84の1つの方法。
86)少なくとも1つの層堆積空間内に無機材料含有層を堆積し、前記堆積する工程中に前記少なくとも1つの堆積空間を密閉し、前記堆積空間に直接接続されたポンプを用いて前記堆積空間をポンピングする工程を含む、態様62から85の1つの方法。
87)ポリマー材料含有層を層堆積空間に堆積し、前記堆積中に前記堆積空間を密閉し、前記堆積空間に直接接続されたポンプを用いて前記堆積空間をポンピングする工程を含む、態様62から86の1つの方法。
88)水分子の透過を抑制する前記透過障壁層系を製造する工程を含む、態様62から87の1つの方法。
89)真空中で行われる、態様62から88の1つの方法。
90)態様31から61による装置を用いて行われる、態様62から89の1つの方法。
8 プラズマ重合ステーション
10 無機材料堆積ステーション
12 基材
14 基材キャリア
16 処理チャンバ
20 制御ユニット
21 プラズマ源
64 基材キャリア
72 基材
74 基材キャリア
76 移送チャンバ
102 リフト配置構成
108 冷却部材
220 処理チャンバ

Claims (32)

  1. ・ 基材キャリア、
    ・ それぞれが無機材料の供給源を含む、少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバを含む、少なくとも1つの無機材料層堆積ステーション、
    ・ モノマー供給用の供給ラインシステム及びプラズマ源を備えた、少なくとも1つのプラズマ重合チャンバを含む、少なくとも1つのポリマー堆積ステーション、
    ・ 前記無機材料層堆積ステーション及び前記少なくとも1つのポリマー堆積ステーションからの堆積作用に対する、前記基材キャリアの断続的な曝露を制御するように構成された、制御ユニット
    を含む、層堆積装置。
  2. 少なくとも1つの冷却ステーションを含む、請求項1に記載の層堆積装置。
  3. 少なくとも1つの無機材料層堆積ステーションが、少なくとも前駆体を含有する前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに作動的に流動接続されたガス供給配置構成を含む、少なくとも1つのALD層堆積チャンバを含む、請求項1又は2に記載の層堆積装置。
  4. 少なくとも1つの無機材料層堆積ステーションが、少なくとも2つのALD層堆積チャンバを含み、前記少なくとも2つのALD層堆積チャンバの1つが、前駆体を含有する前駆体リザーバに作動的に接続されたガス供給配置構成を含み、前記ALD堆積チャンバの他方が、反応性ガスを含有する反応性ガスリザーバに作動的に接続されたガス供給配置構成を含む、請求項1から3のいずれか一項に記載の層堆積装置。
  5. 前記前駆体リザーバからの前駆体ガスが、ケイ素及び金属のうちの少なくとも1つを含有する、請求項3又は4に記載の層堆積装置。
  6. 前記金属が、アルミニウム、タンタル、チタン、ハフニウムのうちの少なくとも1種である、請求項5に記載の層堆積装置。
  7. 前記反応性ガスが、酸素及び窒素のうちの少なくとも1種を含有する、請求項3から6のいずれか一項に記載の層堆積装置。
  8. 少なくとも1つの無機材料層堆積ステーションが、レーザ源、前駆体を含有する少なくとも前駆体リザーバ及び反応性ガスを含有する反応性ガスリザーバに作動的に流動接続されたガス供給配置構成を含む、少なくとも1つのALD層堆積チャンバを含む、請求項1から7のいずれか一項に記載の層堆積装置。
  9. 少なくとも1つの無機材料層堆積ステーションが、少なくとも2つのALD層堆積チャンバを含み、前記少なくとも2つのALD層堆積チャンバの1つが、前駆体を含有する前駆体リザーバに作動的に接続されたガス供給配置構成を含み、前記ALD堆積チャンバの他方が、レーザ源と、反応性ガスを含有する反応性ガスリザーバに作動的に接続されたガス供給配置構成とを含む、請求項1から8のいずれか一項に記載の層堆積装置。
  10. 少なくとも1つの無機材料層堆積ステーションが、少なくとも1つのPVD層堆積チャンバを含む、請求項1から9のいずれか一項に記載の層堆積装置。
  11. 前記PVD層堆積チャンバが、スパッタリング層堆積チャンバである、請求項10に記載の層堆積装置。
  12. 前記PVD層堆積チャンバが、蒸着チャンバ又は電子ビーム蒸着チャンバである、請求項10に記載の層堆積装置。
  13. 前記PVD層堆積チャンバが、少なくとも1種の金属若しくは金属合金、又はそのような金属若しくは金属合金の酸化物若しくは窒化物若しくは酸窒化物の固体材料供給源を有する、請求項10から12のいずれか一項に記載の層堆積装置。
  14. 少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、互いに離れており、前記基材キャリアが、これらのステーションの1つからこれらのステーションの次の1つまで、好ましくは真空環境で、制御可能に移動可能である、請求項1から13のいずれか一項に記載の層堆積装置。
  15. 少なくとも1つのPVD層堆積チャンバ及び/又は少なくとも1つのALD層堆積チャンバが、堆積操作用に制御可能に密閉可能であり且つ基材の取扱い用に開放可能である堆積空間と、前記制御可能に密閉可能であり且つ開放可能である堆積空間に接するポンピング口とを含む、請求項1から14のいずれか一項に記載の層堆積装置。
  16. モノマー供給用の供給ラインシステムを備え且つプラズマ源を備えた少なくとも1つのプラズマ重合チャンバが、層堆積操作用に制御可能に密閉可能であり且つ基材の取扱い用に開放可能である堆積空間と、前記制御可能に密閉可能であり且つ開放可能である堆積空間に接するポンピング口とを含む、請求項1から15のいずれか一項に記載の層堆積装置。
  17. 少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通の堆積領域で堆積を行う、請求項1から16のいずれか一項に記載の層堆積装置。
  18. 前記基材キャリアの線形移動経路に沿って、又はほぼ湾曲した移動経路に沿って、又は円形移動経路に沿って、一連の複数対の無機材料層堆積ステーション及びポリマー堆積ステーションを含む、請求項1から17のいずれか一項に記載の層堆積装置。
  19. 前記基材キャリアの線形移動経路に沿って、又はほぼ湾曲した移動経路に沿って、又は円形移動経路に沿って、一連の無機材料層堆積ステーションと、前記無機材料層堆積ステーションの直ぐ後に続くポリマー堆積ステーションとを含む、請求項1から18のいずれか一項に記載の層堆積装置。
  20. 無機材料層堆積ステーションの直ぐ後に続いて冷却ステーションを含む、請求項1から19のいずれか一項に記載の層堆積装置。
  21. 少なくとも1つの入力ロードロック、及び少なくとも1つの出力ロードロック、又は少なくとも1つの二方向入力/出力ロードロックを含む、真空装置である、請求項1から20のいずれか一項に記載の層堆積装置。
  22. 少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、共通の堆積領域上に堆積しており、前記制御ユニットが、述べられた前記ステーションを断続的に使用可能/使用不可にするように構成されている、請求項1から21のいずれか一項に記載の層堆積装置。
  23. 少なくとも1つの無機材料層堆積ステーション及び少なくとも1つのポリマー堆積ステーションが、相互に離れた領域に堆積しており、前記制御ユニットが、前記領域間での前記基材キャリアの移動を制御するように構成されている、請求項1から22のいずれか一項に記載の層堆積装置。
  24. 制御された移行期間中、無機材料層堆積ステーション及びポリマー堆積ステーションの両方によって同時に、共通の堆積領域での堆積を可能にするように構成されている、請求項1から23のいずれか一項に記載の層堆積装置。
  25. 前記供給ラインシステムが、液体又は気体モノマー材料を含有するリザーバに、制御された状態で流動連通している、請求項1から24のいずれか一項に記載の層堆積装置。
  26. 前記供給ラインシステムが、炭素を含む材料を含有するリザーバに、制御された状態で流動連通している、請求項1から25のいずれか一項に記載の真空層堆積装置。
  27. 前記供給ラインシステムが、ケイ素を含む材料を含有するリザーバに、制御された状態で流動連通している、請求項1から26のいずれか一項に記載の層堆積装置。
  28. 前記供給ラインシステムが、テトラメチルシラン(TMS)、ヘキサメチルジシロキサン(HMDS(O))、ヘキサメチルジシラザン(HMDS(N))、テトラエチルオルトシラン(TEOS)、アセチレン、エチレンのうちの少なくとも1種を含有するリザーバに、制御された状態で流動連通している、請求項1から27のいずれか一項に記載の層堆積装置。
  29. 前記基材キャリアが、複数の基材及び/又は複数の開始基材を同時に運ぶように構成されている、請求項1から28のいずれか一項に記載の層堆積装置。
  30. 全ての重合チャンバがプラズマ重合チャンバである、請求項1から29のいずれか一項に記載の層堆積装置。
  31. 下記の特長:
    ・ 前記基材キャリアが、バッチ分の基材及び/又は開始基材を運ぶように構成されている;
    ・ 前記基材キャリアが、複数の単一基材及び/又は単一の開始基材を運ぶように構成されている;
    ・ 前記基材キャリアの移動が、前記基材若しくは開始基材から離れた軸の周りの、及び/又は前記基材若しくは開始基材のそれぞれの中心軸の周りの回転移動である;
    ・ 前記基材キャリアが、真空環境内に設けられている
    の少なくとも1つを有する、請求項1から30のいずれか一項に記載の層堆積装置。
  32. 請求項1から31の少なくとも一項に記載の装置を用いて、開始基材上に透過障壁層系を設ける、又は表面透過障壁層系が設けられた基材を製造する方法。
JP2020504017A 2017-07-27 2018-07-12 透過障壁 Pending JP2020528107A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CH00977/17 2017-07-27
CH9772017 2017-07-27
PCT/EP2018/068915 WO2019020391A1 (en) 2017-07-27 2018-07-12 PERMEATION BARRIER

Publications (1)

Publication Number Publication Date
JP2020528107A true JP2020528107A (ja) 2020-09-17

Family

ID=62916666

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020504017A Pending JP2020528107A (ja) 2017-07-27 2018-07-12 透過障壁
JP2020501482A Pending JP2020528494A (ja) 2017-07-27 2018-07-12 浸透バリア

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020501482A Pending JP2020528494A (ja) 2017-07-27 2018-07-12 浸透バリア

Country Status (7)

Country Link
US (2) US20200216955A1 (ja)
EP (2) EP3658700A1 (ja)
JP (2) JP2020528107A (ja)
KR (2) KR20200037824A (ja)
CN (2) CN110914469A (ja)
TW (2) TWI770226B (ja)
WO (2) WO2019020391A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
CN110943182A (zh) * 2019-11-22 2020-03-31 武汉华星光电半导体显示技术有限公司 有机电致发光器件
US11898248B2 (en) * 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
US20210193441A1 (en) * 2019-12-18 2021-06-24 Jiangsu Favored Nanotechnology Co., Ltd. Coating Apparatus and Coating Method
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05102189A (ja) * 1991-08-13 1993-04-23 Fujitsu Ltd 薄膜形成方法、シリコン薄膜及びシリコン薄膜トランジスタの形成方法
JPH10195651A (ja) * 1997-01-09 1998-07-28 Shin Meiwa Ind Co Ltd 成膜装置及び成膜方法
WO2004104262A1 (ja) * 2003-05-26 2004-12-02 Shinmaywa Industries, Ltd. 成膜装置及び成膜方法
JP2005522891A (ja) * 2002-04-15 2005-07-28 ヴィテックス・システムズ・インコーポレーテッド 多層コーティングを個別のシートにデポジットする装置
JP2017092454A (ja) * 2015-09-28 2017-05-25 ウルトラテック インク 高スループットの複数チャンバ原子層堆積システムおよび方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
JP3783099B2 (ja) * 2000-05-16 2006-06-07 株式会社豊田中央研究所 有機電界発光素子
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2003282240A (ja) * 2002-03-25 2003-10-03 Pioneer Electronic Corp 有機エレクトロルミネッセンス表示パネル及び製造方法
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
SG10201400525UA (en) 2009-03-18 2014-05-29 Oc Oerlikon Balzers Ag Method of inline manufacturing a solar cell panel
WO2010105967A2 (en) 2009-03-18 2010-09-23 Oc Oerlikon Balzers Ag Vacuum treatment apparatus
WO2011017479A2 (en) * 2009-08-05 2011-02-10 E. I. Du Pont De Nemours And Company Barrier-coated thin-film photovoltaic cells
KR102141205B1 (ko) * 2013-08-16 2020-08-05 삼성디스플레이 주식회사 박막 봉지 제조 장치 및 이를 이용한 표시 장치의 제조 방법
EP3115197A4 (en) * 2014-03-04 2017-10-25 Toyo Seikan Group Holdings, Ltd. Gas barrier laminate
WO2016091927A1 (en) 2014-12-11 2016-06-16 Evatec Ag Apparatus and method especially for degassing of substrates

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05102189A (ja) * 1991-08-13 1993-04-23 Fujitsu Ltd 薄膜形成方法、シリコン薄膜及びシリコン薄膜トランジスタの形成方法
JPH10195651A (ja) * 1997-01-09 1998-07-28 Shin Meiwa Ind Co Ltd 成膜装置及び成膜方法
JP2005522891A (ja) * 2002-04-15 2005-07-28 ヴィテックス・システムズ・インコーポレーテッド 多層コーティングを個別のシートにデポジットする装置
WO2004104262A1 (ja) * 2003-05-26 2004-12-02 Shinmaywa Industries, Ltd. 成膜装置及び成膜方法
JP2017092454A (ja) * 2015-09-28 2017-05-25 ウルトラテック インク 高スループットの複数チャンバ原子層堆積システムおよび方法

Also Published As

Publication number Publication date
WO2019020391A1 (en) 2019-01-31
US20200216955A1 (en) 2020-07-09
WO2019020393A1 (en) 2019-01-31
US20200230643A1 (en) 2020-07-23
EP3658700A1 (en) 2020-06-03
KR20200037825A (ko) 2020-04-09
CN110914469A (zh) 2020-03-24
KR20200037824A (ko) 2020-04-09
CN110892090A (zh) 2020-03-17
EP3658699A1 (en) 2020-06-03
JP2020528494A (ja) 2020-09-24
TW201918577A (zh) 2019-05-16
TW201910546A (zh) 2019-03-16
TWI770226B (zh) 2022-07-11

Similar Documents

Publication Publication Date Title
JP2020528107A (ja) 透過障壁
KR102296150B1 (ko) 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
KR102082343B1 (ko) 유기 발광 다이오드의 하이브리드 캡슐화를 위한 방법
KR20070007736A (ko) 가스 배리어성 필름, 기재 필름 및 유기일렉트로루미네선스 소자
KR20060108220A (ko) 가스 배리어성 필름, 기재 필름 및 유기일렉트로루미네선스 소자
JP2013530536A (ja) ロードロックバッチオゾン硬化
WO2016183003A1 (en) Encapsulating film stacks for oled applications
KR20070012508A (ko) 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치
TW200531204A (en) Method of forming insulation film, system of forming insulation film and method of manufacturing semiconductor device
US10535513B2 (en) Apparatus and methods for backside passivation
WO2021021403A1 (en) Evaporator chamber for forming films on substrates
CN108456857A (zh) 一种镀膜系统及其制备柔性薄膜的方法
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
KR101763577B1 (ko) 페럴린과 금속화합물의 공동 성막 장치
JP2006176823A (ja) 成膜装置
US20210272800A1 (en) Systems and methods for depositing low-k dielectric films
JP2005068559A (ja) 成膜方法及び成膜装置
JP5669306B2 (ja) 成膜装置
KR20150065461A (ko) 리프트후프
KR20100127462A (ko) 다양한 공정 온도 조절이 가능한 반도체 공정용 챔버 및 이를 이용하는 반도체 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220926

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221031

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230228

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20230228

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230307

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20230313

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20230526