TWI770226B - 層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法 - Google Patents

層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法 Download PDF

Info

Publication number
TWI770226B
TWI770226B TW107124119A TW107124119A TWI770226B TW I770226 B TWI770226 B TW I770226B TW 107124119 A TW107124119 A TW 107124119A TW 107124119 A TW107124119 A TW 107124119A TW I770226 B TWI770226 B TW I770226B
Authority
TW
Taiwan
Prior art keywords
layer deposition
layer
inorganic material
deposition
station
Prior art date
Application number
TW107124119A
Other languages
English (en)
Other versions
TW201910546A (zh
Inventor
里可 班茲
史蒂芬 佛塞
爵根 維查特
Original Assignee
瑞士商艾維太克股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑞士商艾維太克股份有限公司 filed Critical 瑞士商艾維太克股份有限公司
Publication of TW201910546A publication Critical patent/TW201910546A/zh
Application granted granted Critical
Publication of TWI770226B publication Critical patent/TWI770226B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Abstract

一種層沉積設備,包含:˙一基板載具;˙至少一個無機材料層沉積站,包含至少一個PVD層沉積室及/或至少一個ALD層沉積室,每個無機材料層沉積站包含一無機材料源;˙至少一個聚合物沉積站,包含至少一個電漿聚合室,該電漿聚合室具有用於單體進料的一進料管線系統及一電漿源;˙一控制單元,係構造成控制該基板載具間歇性地暴露於來自該無機材料層沉積站及來自該至少一個聚合物沉積站的沉積效應。

Description

層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法
本發明係有關於層沉積設備及藉由該設備在一起始基板上提供一滲透阻障層系統或製造設置有一表面滲透阻障層系統的一基板的方法。
為了在基板上實現薄層,其有效地阻止水分子朝向基板滲透且滲透至基板上,此種滲透阻障層必須是無機材料層。
定義:
在本說明書及請求項的框架中,通常我們在用語「基板」下理解工件。該基板可以包含對溫度敏感的材料,例如高於150℃或更低的溫度。該基板可以具有板狀形狀。該基板可以是電子裝置,且可以包含例如作為熱敏感性材料的印刷電路板材料。
有機材料層,例如聚合物,例如大多數電漿聚合層,未具有足夠的密封效果或者需要大的層厚度才能有效地變為滲透阻障。利用電漿增強CVD(PECVD),可以實現緻密的無機層,通常在例如高於150℃的高溫下,及/或藉由使用例如矽烷的危險氣體。
純無機材料層具有缺點在於它們易碎並且它們的溫度膨脹係數不適合於該起始基板之溫度膨脹係數。藉此,已經很小的溫度升高可能導致該無機材料層的裂縫或者該無機材料層與該起始基板的黏著性之損害。
定義:
我們在用語「起始基板」下理解如上定義的基板,其尚未經處理或未經過足夠的處理以供滲透阻障。
本發明的目的之一在於提供一種防止滲透的基板,藉此避免如上所述的缺點。此係藉由包含起始基板及滲透阻障層系統的基板來實現。該滲透阻障層系統係包含一聚合物材料層系統,後者包含至少一個電漿聚合之含有聚合物材料的層,且直接地位於該起始基板上。該滲透阻障層系統更包含一無機材料層系統,包含至少一個PVD沉積的或至少一個ALD沉積之含有無機材料的層,直接地沉積在該聚合物材料層系統上。
定義:
●我們在「聚合物材料層系統」下理解層系統,其包含一個以上的「含有聚合物材料」的層。這些層中的至少一者「含有電漿聚合之聚合物材料」。如果「聚合物材料層系統」包含超過一個的「含有聚合物材料」的層,則這些層中的一些可以與藉由不同於電漿來聚合。這些層可以分別地更含有不同的聚合物材料。
●藉此,我們在「含有聚合物材料」的層下或在「電漿聚合物之含有聚合物材料」的層下理解由聚合物材料所組成的層或含有例如無機材料的至少一個殘餘材料之聚合物材料層。
●我們在「無機材料層系統」下理解層系統,其包含一個以上「含有無機材料」的層。這些層中的至少一者係為PVD沉積或ALD沉積。如果「無機材料層系統」包含超過一個的「含有無機材料」的層,則這些層中的一些可以是PVD沉積的,這些層中的一些可以是ALD沉積的,這些層中的一些甚至可以藉由不同於PVD及ALD的製程來沉積,例如藉由CVD、PECVD等等。這些層可以分別地更含有不同的無機材料或是由不同的無機材料組成。
●藉此,我們在「含有無機材料」的層下理解由無機材料所組成的層或含有例如聚合物材料的至少一個殘餘材料之無機材料層。
如果我們用SS表示該起始基板,用PP表示該聚合物材料層系統,以及用PVD/ALD表示已沉積的該無機材料層系統,則該基板的最小結構因此係為:SS-PP-PVD/ALD。
藉此,該聚合物材料層系統提供該PVD/ALD沉積層系統相對於該起始基板的良好黏著性,且密封該無機材料層系統中可能出現的裂縫。
在根據本發明之基板的一個實施例中,該基 板更包含至少一個另一聚合物層系統,包含至少一個另一含有聚合物材料的層,其可以是電漿聚合的或不是電漿聚合的,且直接地沉積在PVD/ALD沉積的該無機材料層系統上。因此,該結構變為:SS-PP-PVD/ALD-PP。
如果未提供另外的層系統,則另一聚合物材料層系統提供該基板中暴露於周遭環境或待被進一步處理之表面的至少一部分。
儘管已經在該起始基板及該PVD/ADL之間沉積該聚合物材料層系統,無機材料層系統可能就足夠,但在大多數情況下,施加另一聚合物材料層系統作為最外層系統,除了密封該無機材料層系統中的裂縫外,係為防潮劑或防液體劑。
在一個實施例中,該起始基板本身包含一個以上的起始基板層,且具有至少一個電漿聚合之含有聚合物材料的層的該聚合物材料層系統係直接地沉積在該提及的起始基板層的最外面上。
在根據本發明之基板的一個實施例中,該起始基板的特徵可以在於下述特徵中的至少一者:●最通常地它是工件;●它具有板狀形狀;●它是電子裝置;●它包含熱敏感性材料,例如,對溫度高於150℃或更低的溫度敏感;●它包含印刷電路板材料。
在根據本發明之基板的一個實施例中,它包含至少一個另一滲透阻障層系統,其包含:一聚合物材料層系統,包含至少一個含有聚合物材料的層;及一無機材料層系統,包含至少一個PVD沉積或ALD沉積的含有無機材料的層,且該至少一個含有無機材料的層係以指定的順序釘合在該一個PVD/ALD沉積的無機材料層系統上。結果實際上是一個結構:SS-PP-PVD/ALD-PP-PVD/ALD-....(PP)。
因此,其結果是,從該起始基板SS開始、聚合物材料層系統PP、直接在該聚合物材料層系統上的無機材料層系統PVD/ALD、直接在此種無機材料層系統上的聚合物材料層系統PP、以及再次地直接在剛提及的聚合物材料層系統上的無機材料層系統PVD/ALD。此層系統順序可以在根據本發明之基板上延續,其根據已提及的層系統的各自厚度及待被實現的阻障精確度而定。同樣地,在一個良好實施例中,最外層是聚合物材料層系統(PP)的一層。
因此,且在根據本發明之基板的一個實施例中,它包含至少一個另一滲透阻障層系統,一者在另一者上釘合。
在根據本發明之基板的一個實施例中,至少一個含有無機材料的層含有氧化矽或是由氧化矽組成。
在根據本發明之基板的一個實施例中,包含在含有聚合物材料的層及含有無機材料的層之間的至少一個界面,該界面係包含含有無機材料的該層之無機材 料以及含有聚合物材料的該層之聚合物材料。在一個實施例中,其係為PVD沉積或ALD沉積的。因此,已提及的專門製造的界面之材料變成所謂的無機-有機混成材料(有機改性的陶瓷)。在一個實施例中,完整層而不僅僅是界面可以是無機-有機混成材料。
在根據本發明之基板的一個實施例中,該基板的表面係為含有聚合物材料的層之表面。因此,該結構可以顯示為:SS-PP-PVD/ALD-.........PP。
在根據本發明之基板的一個實施例中,包含超過一個的含有聚合物材料的層,且超過一個或所有的含有聚合物材料的層係為電漿聚合層。
在根據本發明之基板的一個實施例中,該至少一個電漿聚合層或是超過一個或所有的含有聚合物材料的層係由至少一氣態材料及至少一液態材料中的至少一者聚合。
在根據本發明之基板的一個實施例中,該至少一個含有聚合物材料的層係含有碳。在一個實施例中,該至少一個電漿聚合之含有聚合物材料的層係含有碳。
應當理解的是,如果提供超過一個的含有聚合物材料的層,則這些層可以被分別不同地聚合,一些來自氣態材料,一些來自此種液體材料,及/或來自不同的氣態材料,及/或來自不同的液體材料。
在根據本發明之基板的一個實施例中,至少 一個含有聚合物材料的層係含有矽。因此,在一個實施例中,該電漿聚合之含有聚合物材料的層係含有矽。
根據本發明之基板的一個實施例,包含含有聚合物材料的層,在一個實施例中,包含電漿聚合之含有聚合物材料的層,其由四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者沉積,可能由這些材料中的至少兩者之混合物沉積。
例如四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)等等的含矽液體易於處理,且導致具有矽及交聯網路之間的特性之層係與熔融石英之特性相類似。
作為氣體或液體的碳氫化合物例如C2H2、C2H4等等形成類似於類金剛石碳(DLC)之交聯網路的交聯網路,其通常具有良好的阻障效果。
在根據本發明之基板的另一實施例中,至少一個含有無機材料的層係含有選自下述群組的至少一材料:氧化矽、氮化矽、氧化鋁、氮化鋁、氧化鈦、氮化鈦、氧化鉭、氮化鉭、氧化鉿或各自的氮氧化物或其混合物。
請注意,特別是如果至少一些或甚至所有的含有無機材料的層都是特別藉由PVD而不是藉由PECVD沉積,沉積可能從明確界定的固體材料開始,無論它是濺鍍靶材的材料或是待被蒸鍍固體材料。即使對於ALD沉積,前驅物氣體也可以由明確界定的固體材料 的昇華而產生。
在根據本發明之基板的一個實施例中,藉由濺鍍來沉積至少一個、或超過一個或所有的含有無機材料的層。
在根據本發明之基板的一個實施例中,藉由蒸鍍,在一個良好實施例中藉由電子束蒸鍍來沉積至少一個、或超過一個或所有的含有無機材料的層。藉由利用電子束蒸鍍,可以蒸鍍具有高熔化溫度的材料,例如氧化矽。這些層中的一些可以藉由濺鍍來沉積,一些藉由蒸鍍來沉積。
在根據本發明之基板的一個實施例中,藉由ALD來沉積至少一個、或超過一個或所有的含有無機材料的層。
在根據本發明之基板的一個實施例中,藉由電漿增強ALD(PEALD)來沉積至少一個、或超過一個或所有的含有無機材料的層。藉此,藉由電漿的幫助下致動該反應性氣體。
在根據本發明之基板的一個實施例中,該等至少一個、或超過一個或所有的含有無機材料的層在第一步驟中藉由前驅物氣體沉積並且在遠端執行的後續步驟中藉由反應性氣體沉積。
在根據本發明之基板的一個實施例中,該等至少一個、或超過一個或所有的含有無機材料的層藉由前驅物氣體在第一步驟中且在沉積區域中沉積,並且在後續步驟中,在該沉積區域中藉由反應性氣體執行。
在根據本發明之基板的一個實施例中,該等至少一個、或超過一個或所有的含有無機材料的層係與含有矽及/或金屬的前驅物氣體及反應性氣體一起沉積。
在根據本發明之基板的一個實施例中,該等至少一個、或超過一個或所有的含有無機材料的層係與含有矽、鋁、鈦、鉭、鉿中的至少一者的前驅物氣體一起沉積。
在根據本發明之基板的一個實施例中,該等至少一個、或超過一個或所有的含有無機材料的層係與前驅物氣體及反應性氣體一起沉積,該反應性氣體含有氧及氮中的至少一者。
在根據本發明之基板的一個實施例中,該滲透阻障層系統係為水分子的滲透阻障層系統。
在根據本發明之基板的一個實施例中,該滲透阻障層系統對可見光是透明的。
在根據本發明之基板的一個實施例中,該滲透阻障層系統從該基板的表面到該起始基板的表面是電隔離的。
在根據本發明之基板的一個實施例中,該滲透阻障層系統的至少一層是電隔離的。
除非互相矛盾,否則根據本發明之基板及如上所述的兩個以上實施例可以以組合之方式來實現。
本發明更有關一種層沉積設備,包含:●一基板載具;●至少一個無機材料層沉積站,包含至少一個PVD 層沉積室及/或至少一個ALD層沉積室,每個無機材料層沉積站包含一無機材料源;●至少一個聚合物沉積站,包含至少一個電漿聚合室,該電漿聚合室具有用於單體進料的一進料管線系統及一電漿源;●一控制單元,係構造成控制該基板載具間歇性地暴露於來自該無機材料層沉積站及來自該至少一個聚合物沉積站的沉積效應。
根據本發明之層沉積設備的一個實施例,包含至少一個冷卻站。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一氣體供應裝置,該氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站包含至少兩個ALD層沉積室,該至少兩個ALD層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
在根據本發明之層沉積設備的一個實施例中,來自該前驅物儲存器的一前驅物氣體含有矽及金屬 中的至少一者。
在根據本發明之層沉積設備的一個實施例中,該金屬係為鋁、鉭、鈦、鉿中的至少一者。
在根據本發明之層沉積設備的一個實施例中,該反應性氣體含有氧及氮中的至少一者。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一雷射源、一氣體供應裝置,該氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站包含至少兩個ALD層沉積室,該至少兩個ALD層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一雷射源及一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站包含至少一個PVD層沉積室。
在根據本發明之層沉積設備的一個實施例中,該PVD層沉積室係為一濺鍍層沉積室。
在根據本發明之層沉積設備的一個實施例中,該PVD層沉積室係為一蒸鍍室,或在一個實施例中 係為一電子束蒸鍍室。
在根據本發明之層沉積設備的一個實施例中,該PVD層沉積室具有至少一金屬或金屬合金或該金屬或金屬合金的氧化物或氮化物或氮氧化物的一固體材料源。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站及至少一個聚合物沉積站係彼此遠離,且該基板載具較佳係在一真空環境中可控制地從這些站中的一者移動至這些站中的下一者。
在根據本發明之層沉積設備的一個實施例中,至少一個PVD層沉積室及/或至少一個ALD層沉積室係包含針對沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中。
在根據本發明之層沉積設備的一個實施例中,具有用於單體進料的一進料管線系統及具有一電漿源的至少一個電漿聚合室係包含針對層沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站及至少一個聚合物沉積站在一共同沉積區域中執行沉積。
根據本發明之層沉積設備的一個實施例,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一 圓形移動路徑,一系列超過一對的一無機材料層沉積站及一聚合物沉積站。
根據本發明之層沉積設備的一個實施例,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一圓形移動路徑,一系列的一無機材料層沉積站及直接接續該無機材料層沉積站的一聚合物沉積站。
根據本發明之層沉積設備的一個實施例,包含直接接續一無機材料層沉積站的一冷卻站。
根據本發明之層沉積設備的一個實施例,係為一真空設備,包含至少一個輸入負載鎖及至少一個輸出負載鎖或至少一個雙向輸入/輸出負載鎖。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積至一共同沉積區域上,且該控制單元係構造成間歇性地啟用/禁用該等已提及的站。
在根據本發明之層沉積設備的一個實施例中,至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積在互相遠離的區域中,且該控制單元係構造成控制該基板載具在該等區域之間的移動。
根據本發明之層沉積設備的一個實施例,係構造成能夠在一受控過渡時間間隔之期間藉由一無機材料層沉積站及一聚合物沉積站兩者同時在一共同沉積區域中沉積。
在根據本發明之層沉積設備的一個實施例中,該進料管線系統係與含有一液態或氣態單體材料的 一儲存器控制流動連通。
在根據本發明之層沉積設備的一個實施例中,該進料管線系統係與含有一含碳材料的一儲存器控制流動連通。
在根據本發明之層沉積設備的一個實施例中,該進料管線系統係與含有一含矽材料的一儲存器控制流動連通。
在根據本發明之層沉積設備的一個實施例中,該進料管線系統係與含有四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者的一儲存器控制流動連通。
在根據本發明之層沉積設備的一個實施例中,該基板載具係構造成同時承載超過一個的基板及/或超過一個的起始基板。
在根據本發明之層沉積設備的一個實施例中,所有的聚合室均為電漿聚合室。
根據本發明之層沉積設備的一個實施例具有下述特徵中的至少一者:●該基板載具係構造成承載一批基板及/或一批起始基板;●該基板載具係構造成承載複數個單一基板及/或複數個單一起始基板;●該基板載具的移動係為繞著遠離該等基板或起始基板的一軸及/或繞著該等基板或起始基板的各自 中心軸的一旋轉運動;●該基板載具係在一真空環境中提供。
如上所述,該真空層沉積設備可以包含至少一個冷卻站。
此種冷卻站係例如設置成在剛剛已經經受無機材料層沉積站之後,特別是用PVD層沉積室,或直接在暴露於一個無機材料層沉積站之間,以及在接續地暴露於下一個無機材料層沉積站之前,冷卻基板。
如上所述,至少一個無機材料層沉積站及至少一個聚合物材料沉積站分別地包含,互相遠離的用於沉積互相密封及分別泵送的真空處理室。該基板載具可控制地從已提及的站中之一者移動至下一者,藉此且在一個良好實施例中,在真空環境中。
此種實施例可以例如包含可旋轉的盤形或環形基板載具,其構造成沿著其周邊及從一個站到下一個站承載多個單一基板。藉此,尚未處理的起始基板首先經受該真空電漿聚合站(PPS),且接著接續地經受該無機材料層沉積站PVD/ALDS。
沿著該基板載具之移動路徑的站之順序變為,該移動路徑可以是線性的、彎曲的或圓形的,在最小的構造中:PPS-PVD/ALDS
如上所述,如果將要提供基板的冷卻,則站結構變為,由CS來表示該冷卻站:PPS-PVD/ALDS-CS
或PPS-PVD/ALDS1-CS-PVD/ALDS2-CS
其中,PVD/ALDS1及PVD/ALDS2係表示用於沉積相同或不同材料的無機材料層沉積站。
隨後,考慮的基板可以被輸送至另一聚合物材料沉積站,且接著,如果需要的話,可以接續地被輸送至一個以上的另一無機材料沉積站及聚合物材料沉積站,總是藉由聚合物材料沉積站,以良好的方式終止整體的站順序。
一個以上的或所有的聚合物材料沉積站可以是電漿聚合站,在某些情況下,一些或所有的電漿聚合站可以用未使用真空電漿的聚合站來代替。
因此,以下的站順序係盛行:PPS-PVD/ALDS-PPS-n*(PVD/ALDS-PPS-PVD/ALDS...)-PPS(n
Figure 107124119-A0202-12-0016-16
0)。
如果需要對所有的PVD/ALDS冷卻,則該順序變為:PPS-PVD/ALDS-CS-PPS-n*(PVD/ALDS-CS-PPS-PVD/ALDS...)-PPS(n
Figure 107124119-A0202-12-0016-17
0)。
如上所述,無機材料沉積站以及例如構造成真空電漿聚合站的聚合物材料沉積站係設置在共同的真空處理室中。
可以考慮批量處理系統,其中例如用於待被同時處理的多個基板之載具帽罩係暴露於無機材料沉積以及聚合物材料沉積。
如果無機材料層沉積站及聚合物材料沉積站在共同真空處理室中或在單獨的分別泵送的處理室中係彼此互相遠離,則該控制單元控制基板載具的移動之時序並且可能地啟用/禁用該等站,且因此使該基板暴露於各自的沉積效應。
層沉積系統的一個實施例係包含超過一對或超過一對的PVD層沉積站及聚合站。
如果該層沉積設備係為真空設備且因此包含各自的輸入/輸出負載鎖,則包括可能提供的冷卻站的所有處理室及輸送室或站都是真空站。
至少一個PVD層沉積室及/或至少一個ALD層沉積室係包含針對沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中,及/或具有用於單體進料的一進料管線系統及具有一電漿源的至少一個電漿聚合室係包含針對層沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中,實際上排除了各自的沉積空間之互相交叉污染。
因此,利用PEALD沉積製程來致動ALD中的反應性氣體,顯著地減少處理時間。
請注意,在利用ALD之某些情況下,藉此也利用PEALD之某些情況下,可能需要首先將該基板暴露於反應性氣體氣氛中的處理步驟,例如在氧化氣氛中,以便改善由ALD隨後沉積的層之黏著性,藉此,在一個 實施例中,改善由PEALD隨後沉積的層之黏著性。
如果不矛盾的話,可以組合根據本發明之設備的兩個以上的實施例。
本發明更有關一種在一起始基板上提供一滲透阻障層系統或製造設置有一表面滲透阻障層系統的一基板的方法,該方法包含:a)藉由PVD及/或藉由ALD在一起始基板上沉積至少一個無機材料層系統來建立滲透密封,該至少一個無機材料層系統包含至少一個含有無機材料的層;b)藉由在該起始基板上直接地沉積包含至少一個含有聚合物材料的層的一聚合物材料層系統,且直接地沉積該無機材料層系統在該聚合物材料層系統上,提供該無機材料層系統與該起始基板的黏著及該無機材料層系統的裂縫密封。
根據本發明之方法的一個變型,包含含有聚合物材料的該層或含有聚合物材料的該等層中之至少一者的真空電漿聚合材料。
在根據本發明之方法的一個變型中,建立該滲透密封係包含電漿增強ALD。
在根據本發明之方法的一個變型中,至少一層係從一電隔離層沉積。
在根據本發明之方法的一個變型中,該滲透阻障層系統係沉積成對可見光是透明的。
在根據本發明之方法的一個變型中,在該沉積期間該起始基板的溫度不超過一預定值,該預定值較 佳地不超過至多150℃。
根據本發明之方法的一個變型,包含將包含至少一個含有聚合物材料的層的另一聚合物材料層系統直接地沉積在該無機材料層系統上。
根據本發明之方法的一個變型,包含超過一個的含有聚合物材料的層的真空電漿聚合材料。
根據本發明之方法的一個變型,包含重複該等步驟a)及b)。
根據本發明之方法的一個變型,包含在該最後沉積的無機材料層系統上直接地沉積包含至少一個含有聚合物材料的層的另一聚合物材料層系統。
根據本發明之方法的一個變型,包含在沉積一無機材料層系統中的至少一者之後或在沉積一無機材料層系統中的至少一者之期間,冷卻該基板。
根據本發明之方法的一個變型,包含沉積氧化矽的一含有無機材料的層。
根據本發明之方法的一個變型,包含以一受控的方式在沉積一含有聚合物材料的層及沉積一含有無機材料的層之間的至少一個材料界面沉積,該界面係為包含已沉積的含有聚合物材料的該層的聚合物材料及含有無機材料的該層的無機材料的一材料。
根據本發明之方法的一個變型,包含從一氣態或一液態材料沉積至少一個含有聚合物材料的層。
根據本發明之方法的一個變型,包含從一含碳材料沉積至少一個含有聚合物材料的層。
根據本發明之方法的一個變型,包含從一含矽材料沉積至少一個含有聚合物材料的層。
根據本發明之方法的一個變型,包含從四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中之一者沉積至少一個含有聚合物材料的層。
根據本發明之方法的一個變型,包含沉積至少一個含有無機材料的層,含有無機材料的該等層係包含下列中至少一者或由下列中至少一者組成:氧化矽、氮化矽、氧化鋁、氮化鋁、氧化鈦、氮化鈦、氧化鉭、氮化鉭、氧化鉿或各自的氮氧化物。
根據本發明之方法的一個變型,包含藉由濺鍍或藉由蒸鍍或藉由電子束蒸鍍或藉由ALD或藉由電漿增強ALD來沉積至少一個含有無機材料的層。
根據本發明之方法的一個變型,包含藉由ALD在一ALD沉積室中沉積至少一個含有無機材料的層,且將一前驅物氣體及一反應性氣體進料至該ALD沉積室。
根據本發明之方法的一個變型,包含藉由ALD在至少兩個接續的ALD沉積室中沉積至少一個含有無機材料的層,且將一前驅物氣體進料至該至少兩個ALD沉積室中的第一者以及將一反應性氣體進料至該至少兩個接續ALD沉積室中的第二者。
在根據本發明之方法的一個變型中,該前驅物氣體含有矽或金屬。
在根據本發明之方法的一個變型中,該金屬係為鋁、鉭、鈦、鉿中的至少一者。
在根據本發明之方法的一個變型中,該反應性氣體含有氧及氮中的至少一者。
根據本發明之方法的一個變型,包含在至少一個層沉積空間中沉積一含有無機材料的層、在該沉積期間密封該至少一個沉積空間、以及藉由直接連接至該沉積空間的一泵來泵送該沉積空間。
藉此,進出用於沉積含有無機材料的層之沉積空間中的交叉污染係實質地減少。
根據本發明之方法的一個變型,包含在一層沉積空間中沉積一含有聚合物材料的層、在該沉積期間密封該沉積空間、以及藉由直接連接至該沉積空間的一泵來泵送該沉積空間。
藉此,進出用於沉積含有聚合物材料的層之沉積空間中的交叉污染係實質地減少。
顯然地,在根據本發明之方法的一個變型中,一方面用於沉積含有無機材料的層且另一方面用於沉積含有聚合物材料的層之沉積空間,係分別地在沉積操作期間被密封並且被分別地泵送。
根據本發明之方法的一個變型,包含製造該滲透阻障層系統,以抑制水分子的滲透。
根據本發明之方法的一個變型,係在真空中執行。
必須注意的是,如果不矛盾的話,根據本發 明之基板、根據本發明之層沉積設備以及根據本發明之方法的所有實施例可以分別地以任何組合之方式組合。
D‧‧‧共同區域
S‧‧‧開關
A14‧‧‧中心軸
A15‧‧‧中心軸
P‧‧‧軌道
B‧‧‧主方向
A30‧‧‧旋轉軸
A64‧‧‧軸
LL9‧‧‧雙向負載鎖定站
Su‧‧‧延伸表面
8‧‧‧真空電漿聚合站
10‧‧‧無機材料沉積站
12‧‧‧起始基板
14‧‧‧基板載具
14a‧‧‧載具圓頂或帽罩
16‧‧‧處理室
16a‧‧‧處理室
18‧‧‧泵送裝置
20‧‧‧時序控制單元
21‧‧‧電漿源
22‧‧‧單體進料管線系統
24‧‧‧槽裝置
26‧‧‧快門裝置
52‧‧‧基板
54‧‧‧基板載具
56‧‧‧處理室
58‧‧‧泵
62‧‧‧泵送裝置
64‧‧‧基板載具
65‧‧‧基板
72‧‧‧基板
74‧‧‧基板載具
74a‧‧‧部件
76‧‧‧真空輸送室
79‧‧‧泵
90‧‧‧起始基板
90a‧‧‧薄層
92‧‧‧層系統
93‧‧‧材料界面區域
94‧‧‧層系統
96‧‧‧層系統
100‧‧‧冷卻站
102‧‧‧升降裝置
104‧‧‧真空輸送室
106‧‧‧冷卻室
108‧‧‧冷卻構件
110‧‧‧冷卻通道系統
201‧‧‧單體源
203‧‧‧閥裝置
205PVD‧‧‧槽裝置
207PVD‧‧‧閥裝置
209AL‧‧‧槽裝置
211AL‧‧‧閥裝置
213AL‧‧‧槽裝置
215AL‧‧‧閥裝置
220‧‧‧處理室
222‧‧‧泵送裝置
224‧‧‧處理室
226‧‧‧處理室
228‧‧‧泵送裝置
230‧‧‧泵送裝置
本發明現在並且在技術人員需要的範圍內,在附圖的幫助下進一步舉例說明。它們顯示:第1圖:根據本發明之方法的流程圖;第2至6圖:示意性及簡化地顯示根據本發明之層沉積系統的實施例;第7圖:示意性及簡化地顯示根據本發明之真空層沉積系統的頂視圖;第8圖:示意性及簡化地顯示穿過第7圖之系統的橫截面;第9及10圖:最示意性及簡化地顯示處於打開及關閉位置的冷卻站,例如可以設置在第7及8圖的系統;第11圖:示意性地及簡化地顯示整合至根據第7及8圖之系統的冷卻站;第12圖:示意性地顯示根據本發明之基板;第13圖:示意性及簡化地顯示適用於根據本發明之設備的單室ALD沉積站;第14圖:示意性及簡化地顯示適用於根據本發明之設備的雙室ALD沉積站。
在第1圖中,在時間軸t上示意性地顯示根據本發明之方法的流程圖,該方法由根據本發明之層沉積設備執行且產生根據本發明之基板。
在步驟1中,提供一起始基板(在根據本發明處理之前)或超過一個的起始基板,直至提供一批起始基板。在步驟2中,一個以上的起始基板塗覆有含有聚合物材料的層系統PP,該層系統PP包含至少一個電漿聚合之含有聚合物材料的層。藉此,且在現今有利的實施例中,氣態或液態單體被電漿聚合,導致至少一個電漿聚合之聚合物層被直接地沉積在一個以上的起始基板上。
被聚合的液態或氣態或液態單體含有碳,且如果是液態,則含有矽。作為待被聚合的材料,特別是待被電漿聚合的材料,可以使用TMS或HMDS(O)或HMDS(N)或TEOS或乙炔或乙烯,藉此,如果具有超過一個含有聚合物材料的層之含有聚合物材料的層系統,係分別地沉積已提及之單體中的不同者,其可以一個接一個地使用,或者甚至是它們的混合物。附加地,可以藉由電漿聚合實現超過一個的或所有的含有聚合物材料的層。
在沉積含有聚合物材料的層系統之後,且在步驟3中,直接在含有聚合物材料的層系統PP上沉積含有無機材料的層系統PVD/ALD,該層系統PVD/ALD係包含至少一個含有無機材料的層。此藉由PVD(物理氣相沉積)沉積或藉由ALD(原子層沉積)沉積來執行。已沉積之含有無機材料的無機材料層系統係由單一含有無機材料的層之最小構造組成。
作為PVD沉積方法,可以使用濺鍍,藉此可 以使用磁控濺鍍或蒸鍍,藉此特別是可以使用電子束蒸鍍。各自的PVD沉積方法可以非反應性或反應性地執行。作為一個例子,在步驟3中沉積的無機材料可以是氧化矽、氮化矽;金屬氧化物、金屬氮化物、金屬氮氧化物,例如:氧化鋁或氮化鋁、氧化鈦、氮化鈦、氧化鉭、氮化鉭、氧化鉿或各自的氮氧化物。
如果藉由ALD沉積來沉積一個以上的含有無機材料的層,或者在最小構造中,如果藉由ALD沉積來沉積一個含有無機材料的層,則使用至少一個前驅物氣體及至少一個反應性氣體,兩者都是將其進料至一個ALD處理室或分別地進料至後續的ALD處理室。
藉此可以藉由電漿源來致動反應性氣體,因而產生電漿增強ALD。
在一個實施例中,前驅物氣體含有至少一金屬。前驅物氣體可以含有矽、鋁、鉭、鈦、鉿中的至少一者。反應性氣體可以含有氧及/或氮。
請注意,如果含有無機材料的層系統係包含超過一個的含有無機材料的層,則這些層可以藉由PVD及/或藉由ALD具體地沉積不同的材料。
含有無機材料的層也可以含有一定量的聚合物材料,在某些應用中,甚至可能是所欲的聚合物材料。
在含有聚合物材料的層及含有無機材料的層之間實現的界面區域中,可以存在無機材料以及聚合物材料之材料。
由於起始基板的比溫膨脹係數通常係與在步 驟3中沉積之至少一個含有無機材料的層系統PVD/ALD的溫度膨脹係數相當地不同,在步驟2中沉積之含有聚合物材料的層系統PP,提供含有無機材料的層系統PVD/ALD之良好黏著性,並且密封脆性之含有無機材料的層系統PVD/ALD中可能出現之裂縫。
在本發明的一些應用中,起始基板不應該用超過一定值的高溫來加載,例如:150℃或以下的溫度。因此,作為一個例子,作為起始基板之材料的印刷電路板材料不應該在超過150℃的溫度下被處理。
在此種情況下,分別具有厚的含有無機材料的層之PVD/ALD系統的沉積,可以在沒有附加措施下藉由超過允許的溫度使起始基板熱過載。
因此,且在此種情況下,如第1圖中的虛線所示,在步驟4中提供在含有無機材料的層系統PVD/ALD沉積的步驟3之後的冷卻步驟。供選擇地、或附加地並且如第1圖右側示意性地顯示,含有無機材料的層系統PVD/ALD之沉積可以分為超過一個的沉積子步驟,例如PVD/ALD1、PVD/ALD2等等,以及可以在接續的PVD/ALD系統沉積子步驟之間引入的冷卻步驟。由於已沉積之含有無機材料的層系統可以包含超過一個的含有相同或不同無機材料之含有無機材料的層,PVD/ALD1、PVD/ALD2等等步驟可以是用於不同或相同無機材料的沉積步驟,藉此可能選擇性地使用PVD及ALD沉積。
在步驟3、可能的冷卻步驟4終止之後,根 據第1圖,得到基板,該基板係包含直接地沉積在其上的起始基板;含有聚合物材料的層系統PP,如步驟2所沉積;以及直接在含有聚合物材料的層系統PP上的PVD及/或ALD沉積的無機材料層系統PVD/ALD,如步驟3所沉積。對於一些應用,此基板可能已經足夠良好用於結合含有聚合物材料的層系統PP及含有無機材料的層系統PVD/ALD的進一步用途,已經提供滲透阻障層系統。
然而,在大多數情況下,根據第1圖中的步驟5,在步驟3中沉積的含有無機材料的層系統PVD/ALD上,進一步施加另一含有聚合物材料的層系統PP,如有關步驟2的上下文所說明那樣被沉積。從步驟5得到的基板通常是最小的構造,因為在步驟5中沉積的含有聚合物材料的層系統PP係提供附加的滲透密封以及吸收各自的分子的層,必須抑制其滲透,特別是水分子的滲透。
然而,在沉積步驟5之後,可以如第1圖中的虛線所示藉由步驟6沉積一對以上的含有無機材料的層系統-PVD/ALD-及含有聚合物材料的層系統-PP,藉此最終形成所得基板之最外表面的層係為含有聚合物材料的層。顯然地,且如果需要的話,在含有無機材料的系統PVD/ALD的各自沉積步驟之後或在含有無機材料的系統PVD/ALD的各自沉積步驟之期間,執行冷卻步驟,類似於步驟3中的沉積給定之說明。
如上所述,根據本發明執行在第1圖的幫助 所說明之步驟順序,而不管執行此種處理步驟順序的層沉積設備之構造。
對於已提及方法的大多數應用,已沉積之整體層系統在所得基板的最外表面及起始基板的表面之間被認為是電絕緣的,於是沉積第一PP層系統。因此,例如,已沉積的層中的至少一者是電絕緣的。
對於該方法的頻繁應用,進一步且再次地,整個層堆疊對於可見光是透明的,起始基板可能也是。
現今,含有聚合物材料的層系統PP及含有無機材料的層系統PVD/ALD具有在50nm及300nm之間的總厚度。
在下表中例示根據該方法執行的不同處理流程,如在第1圖的幫助下所說明,且得到根據本發明之基板。藉此,ALD-a係指具有至少一個前驅物氣體的ALD沉積步驟,且ALD-b係指在反應性氣體氣氛中的後續反應步驟,在藉由電漿源之電漿改善的一個實施例中。請注意,在處理流程5、6及8中,ALD步驟ALD-a及ALD-b都在單一處理站中執行,而根據處理流程7,這些ALD步驟在不同的處理站中執行。標示n*係指在方框中的順序可以重複多次。
Figure 107124119-A0202-12-0027-18
對於一些材料組合,可能建議在執行ALD-a步驟之前,執行在反應性氣體氣氛中的處理步驟,可能是電漿增強,以便改善ALD沉積層的黏著性。此類似於執行ALD-b步驟。
為了最小化處理步驟的交叉污染,各自處理室中的至少一些,特別是用於PP沉積及/或用於PVD沉積及/或用於ALD沉積及/或用於冷卻的室係分別地泵送且在沉積操作之期間被密封。
最示意性及簡化的,第2圖係顯示層沉積系統的實施例,此處是真空層沉積系統,其執行有關第1圖的上下文中所述的步驟順序或處理流程。
在第2圖的實施例中,提供真空電漿聚合站PPS8及無機材料沉積站PVD/ALDS 10。站8及10都在基板載具14上的起始基板12上執行各自的層沉積。藉此,如示意性地顯示,兩個層沉積都在共同真空處理室16中及共同區域D中執行。處理室16係由泵送裝置18來泵送。
電漿聚合站8以受控方式從含有氣態或液態單體材料的單體源201供應,如示意性地顯示,經由閥裝置203來控制。
如果無機材料沉積站10係為PVD沉積站,則根據沉積是否僅僅來自固體材料源,例如僅僅來自濺鍍靶材,或者包括使來自固體材料源的材料與反應性氣體或氣體混合物反應而定,將反應性氣體或氣體混合物供應至無機材料沉積站10,如在205PVD示意性地顯 示,如示意性地顯示藉由閥裝置207PVD來控制。
如果無機材料沉積站10係為ALD沉積站,則前驅物氣體經由閥裝置211AL以受控方式從槽裝置209AL供應至沉積站10,如示意性地顯示。附加地沉積,反應性氣體或氣體混合物藉由閥裝置215AL以受控的方式從槽裝置213AL供應至沉積站10,如示意性地顯示。
為了執行第1圖的時序,提供控制單元20,如示意性地顯示藉由開關S啟用電漿聚合站8或PVD/ALD沉積站10,且藉此(未顯示)藉由控制閥裝置203及可能的207PVD或203及211AL及215AL,來控制各自的氣體供應的時間序列。可能需要用沖洗氣體(未顯示)沖洗處理室16,在供應單體材料及供應反應性氣體以用於反應性PVD沉積製程之間,或是在供應單體材料、供應前驅物氣體及/或供應反應性氣體以用於ALD沉積製程之間。
組合的電漿聚合PPS站及無機材料沉積站PVD/ALDS的此結構是特別適合的,如果必須處理批量的起始基板,亦即包含例如在腔室16內配置在圓頂形或帽罩形的旋轉基板載具上的多個起始基板。此種載具上的基板可以附加地繞著基板中心軸旋轉。藉此,特別是在此情況下,藉由蒸鍍執行PVD無機材料沉積可能是有利的,且依賴於待被蒸鍍的固體材料,特別是藉由電子束蒸鍍。
將液態或氣態單體材料進料至與基板載具相鄰的處理室16中,並且藉由電漿源而被電漿聚合。在 PPS站操作之期間,藉由可移動的快門裝置可以防止待被蒸鍍的坩堝材料受到聚合物材料,且相反地,在PVDS站操作之期間,可以藉由各自的可移動快門防止電漿源受到無機材料沉積。
第3圖示意性地顯示剛剛提及的實施例。無機材料沉積站10係由電子束蒸鍍站10PVD實現。電漿聚合站8係由電漿源21及單體進料管線系統22來實現,進料管線系統22係與含有一個以上氣態或液態單體的槽裝置24控制流動連通,如上所述。基板載具14藉由繞其中心軸A14旋轉的批量載具圓頂或帽罩14a來實現。批量載具14上的基板15可以附加地繞著各自的基板中心軸A15而旋轉。
如在26的虛線所示,可以提供可移動的快門裝置,以在禁用週期之期間分別地保護站10PVD以及電漿源21。
在此情況下,利用用於無機材料沉積的蒸鍍可能不需要如第1圖所述的冷卻步驟。
第4圖再次最簡化及示意性地顯示根據本發明之層沉積設備的另一結構實施例,再次實現為真空層沉積設備,執行有關第1圖的上下文所述的方法或步驟順序。
與第2及3圖的實施例相反,在第4圖的實施例中,PPS站8及PVD/ALDS站10執行沉積到如I、II、III所示不同的沉積區域。起始基板12或一系列的起始基板12係藉由基板載具14從一個沉積區域(例如I) 輸送到下一個沉積區域(例如II)。如虛線所示,沿著基板12的行進路徑P且如有關第1圖的上下文中已經敘述,在基板上執行層沉積的最後一個站有利地係為PPS站8。儘管執行沉積到不同的沉積區域I,II....,沉積站8、10等等在共同的整體處理室16a中操作。與第2及3圖的實施例相反,基板12從一個沉積站移動至下一個沉積站,且基板載具因此可以沿著一線性或沿著一通常彎曲或沿著圓形路徑P以受控方式移動。控制單元(在第4圖中未顯示)控制沉積站之可能的間歇性啟用及基板載具14的輸送運動。
此實施例結構特別適用於單一基板處理,且在一個良好實施例中,藉由各自的濺鍍源或藉由ALD實現該或該等無機材料沉積站10。在此情況下,可能變為需要有關第1圖上下文所述的冷卻。如果需要的話且著眼於第1圖,冷卻站(第4圖中未顯示)係設置在無機材料沉積站10的下游或接續提供之任何此種的附加站10,特別是如果施加濺鍍。
請注意,各自控制的氣體或液體供應及控制這些供應的時間順序的時序控制單元,未在第4、5至8圖中顯示,但是類似於第2圖的實施例實現。
該層沉積設備的現今有利結構,係再次地實現為真空層沉積設備,且根據本發明,係在第5圖中示意性地及最簡化地顯示。
在第5圖的結構實施例中,一個以上的PPS聚合物沉積站8以及一個以上的無機材料沉積站 PVD/ALDS 10以及根據關於第1圖的說明可能提供的一個以上的冷卻站(在第5圖中未顯示),係由各自的處理室56提供,處理室56係如示意性地顯示由泵58分別地泵送,且因此也在各自的操作狀態下互相密封。承載多個基板52的基板載具54係沿著軌道P可控制地移動,軌道P可以是線性的、彎曲的、或者在一個實施例中是圓形的。基板載具54在由泵送裝置62泵送的真空傳送室60中操作。
特別是如果藉由PVD執行無機層的沉積,藉此特別是藉由濺鍍,如有關第1圖的上下文所述,在處理起始基板或是可能對熱敏感的更為通常的基板時,可能變為需要提供冷卻步驟以及相對應的冷卻室或冷卻站。
如果藉由ALD執行無機材料的沉積或是無機材料的沉積中之一者,則原則上有兩種方法是可行的,如現在著眼於第13圖及第14圖所述。
根據第13圖的實施例,實現為ALDS沉積站的沉積站10係包含由泵送裝置222泵送的單一處理室220。前驅物氣體以及反應性氣體都被進料至處理室220。藉此,前驅物氣體經由受控閥裝置211AL從氣槽裝置209AL進料至處理室220,且反應性氣體經由受控閥裝置215AL從氣槽裝置213AL進料至處理室220。藉由時序控制單元20來控制各自的氣體進料及可能沖洗或漂洗氣體的供應(未顯示)之時間順序。
根據第14圖的實施例,實現為ALDS沉積站 的沉積站10係包含至少兩個處理室224及226,每個處理室由各自的泵送裝置228及230來泵送。為了將交叉污染最小化,該等室在操作時係互相密封的。前驅物氣體經由受控閥裝置211AL從氣槽裝置209AL進料至處理室224。反應性氣體經由受控閥裝置215AL從氣槽裝置213AL進料至處理室226。藉由時序控制單元20來控制各自的氣體進料及可能的沖洗或漂洗氣體的供應(未顯示)之時間順序。
在所有的實施例中,聚合材料的沉積係在遠離用於沉積無機材料之沉積區域的沉積區域中執行,實現為ALDS站的站10可以根據第13圖或根據第14圖構造。
根據本發明且藉此也根據第4圖或第5圖之真空層沉積設備的一般性結構可以以不同的更具體的結構來實現。基板可以繞著其中心軸旋轉或是不旋轉(未顯示),類似於第3圖中的A15
在第6圖中示意性地顯示一個更具體的設備結構。此處,基板載具64是轉盤或鼓輪,可以繞著軸A64可控制地旋轉。基板65沿著基板載具64的周邊配置及保持,其基板平面係平行於軸A64。
PPS站8及無機材料PVD/ALDS沉積站10係沿著旋轉基板載具64的軌跡路徑靜止地設置。站的方位角間隔符合基板載具64上的基板之方位角間隔。沉積站8、10係配置成具有相對於軸A64徑向的主沉積方向B。顯然地,且如果需要的話,提供一個以上的冷卻站, 以及(未顯示)輸入/輸出負載鎖的配置。第6圖的實施例的站可以如第5圖的實施例那樣分別地泵送,且因此可以互相密封,或者可以在圍繞固定基板載具64的共同真空容器中提供,其符合第4圖的一般性表示。此處,基板也可以繞著中心軸旋轉,類似於第3圖的設備結構中的軸A15
在現今有利的結構中,真空層沉積設備的結構係如申請人的WO 2010/105967中揭示。沉積步驟,特別是PVD無機材料層沉積步驟,可以分成在各自的站執行的兩個以上相同的沉積步驟,可能具有互連的冷卻站。關於製程分離的一般性方法,我們可以參考申請人的WO 2010/106012之揭示。
然而,在第7及8圖的實施例中示意性地及簡化地顯示此種現今有利的真空層沉積設備。單一基板72係承載在盤形基板載具74上,如第8圖的簡化橫截面圖所示。
基板72係沉積在基板載具74上,其中基板平面係垂直於基板載具74的旋轉軸A30。與基板載具74上的基板72之圓形路徑相對準,如第7圖所示,係提供各自數量的PPS站8及PVD/ALDS站10,其中沉積的主方向B係平行於軸A30。基板載具74在真空輸送室76中操作。固定站8及10的方位角間隔係等於基板載具74上的基板72之方位角間隔。提供雙向負載鎖定站LL9,在雙向負載鎖定站LL9上,未處理的起始基板,例如從周遭環境被進料至真空輸送室76及基板載具74 上,而處理過的基板從基板載具74被卸載至例如周遭環境。
請注意,站8、10係由泵79分別泵送,並且藉由升降裝置102可控制地將基板72從基板載具74提舉至與密封框架接合,藉此密封各自的沉積室,藉此可互相密封。
如果藉由ALD執行無機材料的沉積並且根據第14圖的實施例實現各自的沉積站10,則在第4、5、6、7及8圖的實施例中,各自的ALDS站係藉由至少兩個隨後服務、分別泵送且可互相密封的處理室來實現。
除了提供根據本發明的沉積站之外,WO 2010/106012係揭示可以在本發明的上下文中使用之設備的一般結構。
如果有必要且如有關第1圖的上下文中已經提及,為了在PVD無機層沉積之後或是在PVD無機層沉積之期間提供基板的冷卻,將類似於申請人的WO 2016/091927中討論的該等冷卻室整合至有關第5至8、13、14圖的上下文中提及的設備中。
在WO 2016/091927中揭示一種冷卻器真空室。冷卻器室示意性地顯示於第9圖(關閉位置)及第10圖(打開位置)中。冷卻器室的此種原理較佳係適合於整合為系統中的一個以上的冷卻室,特別是如第7及8圖所示。此真空冷卻室可以用例如氦的導熱氣體加壓,以顯著地提高從基板到鉗型冷卻室之封閉壁的熱傳遞,這些冷卻室被冷卻。
第11圖最示意性地及簡化地顯示將此種冷卻室或冷卻站整合在如第7及8圖所示之設備中的可行方法。
在此種冷卻站100,基板72藉由升降裝置102從基板載具74提舉,升降裝置102也被設置以與沉積站或沉積室配合,參見第7及8圖。關於用於基板載具74的真空輸送室104,基板72的升降係建立薄的密封冷卻室106,其中基板72靠近冷卻鉗型構件108。至少一個冷卻構件108例如藉由冷卻通道系統110中循環的液體冷卻介質而被冷卻。例如氦的導熱氣體可以被供應至冷卻室106中。基板載具74中可升降且保持基板72的部件74a係藉由直接接觸到升降裝置102而被冷卻,如果需要的話,也可以主動冷卻。
如果必須在起始基板上沉積多對的含有聚合材料的層系統及含有無機材料的層系統,則可能需要超過一次地執行這些系統的沉積,亦即重複沉積週期至少一次。此可以藉由第7及8圖的基板載具74或第6圖的基板載具64超過一次的360°旋轉來執行。
在第12圖中,最示意性地顯示一基板,該基板具有根據本發明的滲透阻障層系統及根據本發明之方法製造的滲透阻障層系統。
起始基板90可以是或者可以不是已經被薄層覆蓋,如在90a的虛線所示。起始基板90係藉由電漿聚合材料的層系統PP 92沿著其延伸表面Su的至少一部分直接地覆蓋。電漿聚合材料的PP層系統92可以是單 層或多層的,藉此超過一個的不同聚合材料層可以是聚合材料層系統92的一部分。
直接在含有聚合材料的PP層系統92上,設置PVD沉積及/或ALD沉積之無機材料的含有無機材料的層系統94。同樣地,含有無機材料的層系統94可以由單一PVD沉積或ALD沉積之無機材料層或超過一個的PVD沉積及/或ALD沉積之相同或不同無機材料的無機材料層所組成。
在最小基板構造中,系統96的最外層是聚合材料層。層系統96係直接地位於無機材料層系統94上。
著眼於第1圖,在從PP沉積過渡到PVD或ALD沉積時,或者相反地,在從PVD或ALD沉積到PP沉積時,提供過渡時間間隔是可行的,其中聚合物材料及無機材料被同時地沉積,亦即藉由在此時間間隔之期間同時地且在相同的沉積區域中操作各自的沉積站。
著眼於第12圖,此導致材料界面區域93,其中存在具有變化濃度的無機材料以及聚合材料。根據第12圖的最小結構可以進一步設置有另一PVD沉積及/或ALD沉積的含有無機材料的層系統及另一PP含有聚合材料的層系統,亦即依序地在層系統96上,例如根據以下:PVD/ALD-PP-PVD/ALD-...PP...
通常,在例如藉由ALD沉積的無機材料層中提供一定量的聚合材料,可能是有利的。
如果整體的層系統92、94、96等等是電絕緣 的,此可以藉由提供足夠電絕緣的一個以上的該等層來實現。
另外,在該起始基板上施加的所有層可以被選擇為對可見光是透明的。
為了本發明的所有態樣之揭示目的,這些態樣係總結如下述:
1)一種基板,包含:●一起始基板;●一滲透阻障層系統,包含:一聚合物材料層系統,包含至少一個電漿聚合之含有聚合物材料的層,且直接地位於該起始基板上;一無機材料層系統,包含至少一個PVD沉積或至少一個ALD沉積之含有無機材料的層,直接地沉積在該聚合物材料層系統上。
2)如態樣1之基板,更包含至少一個另一聚合物層系統,其包含至少一個另一含有聚合物材料的層,且直接地沉積在該無機材料層系統上。
3)如態樣1或2中任一者之基板,其中該起始基板包含一個以上的起始基板層,且該聚合物材料層系統係沉積在該等起始基板層的最外面上。
4)如態樣1至3中任一者之基板,其中該起始基板具有下述特徵中的至少一者:●最通常地它是工件;●它具有板狀形狀; ●它是電子裝置;●它包含熱敏感性材料,例如,對溫度高於150℃或更低的溫度敏感;●它包含印刷電路板材料。
5)如態樣1至4中任一者之基板,包含至少一個另一滲透阻障層系統,其直接地位於該一個滲透阻障層系統上。
6)如態樣1至5中任一者之基板,至少一個含有無機材料的層係含有氧化矽或由氧化矽組成。
7)如態樣1至6中任一者之基板,包含在含有聚合物材料的層及含有無機材料的層之間的至少一個界面,該界面係包含含有無機材料的該層之無機材料以及含有聚合物材料的該層之聚合物材料。
8)如態樣1至7中任一者之基板,其中該基板的表面係為含有聚合物材料的層之表面。
9)如態樣1至8中任一者之基板,包含超過一個的含有聚合物材料的層,且超過一個或所有的含有聚合物材料的層係為電漿聚合層。
10)如態樣1至9中任一者之基板,該至少一個電漿聚合層或是超過一個或所有的含有聚合物材料的層係由至少一氣態材料及至少一液態材料中的至少一者聚合。
11)如態樣1至10中任一者之基板,至少一個含有聚合物材料的層係含有碳。
12)如態樣1至11中任一者之基板,該至少 一個含有聚合物材料的層係含有碳。
13)如態樣1至12中任一者之基板,至少一個含有聚合物材料的層係含有矽。
14)如態樣1至13中任一者之基板,該電漿聚合之含有聚合物材料的層係含有矽。
15)如態樣1至14中任一者之基板,包含由四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者沉積的含有聚合物材料的層。
16)如態樣1至15中任一者之基板,包含由四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者沉積的電漿聚合之含有聚合物材料的層。
17)如態樣1至16中任一者之基板,其中至少一個含有無機材料的層係含有選自下述群組的至少一材料:氧化矽、氮化矽、氧化鋁、氮化鋁、氧化鈦、氮化鈦、氧化鉭、氮化鉭、氧化鉿或各自的氮氧化物或其混合物。
18)如態樣1至17中任一者之基板,藉由濺鍍來沉積至少一個、或超過一個或所有的含有無機材料的層。
19)如態樣1至18中任一者之基板,藉由蒸鍍,較佳係藉由電子束蒸鍍來沉積至少一個、或超過一個或所有的無機材料層。
20)如態樣1至19中任一者之基板,藉由ALD來沉積至少一個、或超過一個或所有的含有無機材料的層。
21)如態樣1至20中任一者之基板,藉由電漿增強ALD(PEALD)來沉積至少一個、或超過一個或所有的含有無機材料的層。
22)如態樣20或21中任一者之基板,該等至少一個、或超過一個或所有的含有無機材料的層在第一步驟中藉由前驅物氣體沉積並且在遠端執行的後續步驟中藉由反應性氣體沉積。
23)如態樣20或21中任一者之基板,其中該等至少一個、或超過一個或所有的含有無機材料的層藉由前驅物氣體在第一步驟中且在沉積區域中沉積,並且在後續步驟中,在該沉積區域中藉由反應性氣體執行。
24)如態樣20至23中任一者之基板,該等至少一個、或超過一個或所有的含有無機材料的層係與含有矽及/或金屬的前驅物氣體及反應性氣體一起沉積。
25)如態樣20至24中任一者之基板,該等至少一個、或超過一個或所有的含有無機材料的層係與含有矽、鋁、鈦、鉭、鉿中的至少一者的前驅物氣體一起沉積。
26)如態樣20至25中任一者之基板,該等至少一個、或超過一個或所有的含有無機材料的層係與前驅物氣體及反應性氣體一起沉積,該反應性氣體含有氧及氮中的至少一者。
27)如態樣1至26中任一者之基板,其中該滲透阻障層系統係為水分子的滲透阻障層系統。
28)如態樣1至26中任一者之基板,其中該滲透阻障層系統對可見光是透明的。
29)如態樣1至28中任一者之基板,該滲透阻障層系統從該基板的表面到該起始基板的表面是電隔離的。
30)如態樣1至29中任一者之基板,其中該滲透阻障層系統的至少一層是電隔離的。
31)一種層沉積設備,包含:●一基板載具;●至少一個無機材料層沉積站,包含至少一個PVD層沉積室及/或至少一個ALD層沉積室,每個無機材料層沉積站包含一無機材料源;●至少一個聚合物沉積站,包含至少一個電漿聚合室,該電漿聚合室具有用於單體進料的一進料管線系統及一電漿源;●一控制單元,係構造成控制該基板載具間歇性地暴露於來自該無機材料層沉積站及來自該至少一個聚合物沉積站的沉積效應。
32)如態樣31之層沉積設備,包含至少一個冷卻站。
33)如態樣31或32中任一者之層沉積設備,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一氣體供應裝置,該 氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
34)如態樣31至33中任一者之層沉積設備,至少一個無機材料層沉積站包含至少兩個ALD層沉積室,該至少兩個ALD層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
35)如態樣33或34中任一者之層沉積設備,來自該前驅物儲存器的一前驅物氣體含有矽及金屬中的至少一者。
36)如態樣35之層沉積設備,該金屬係為鋁、鉭、鈦、鉿中的至少一者。
37)如態樣33至36中任一者之層沉積設備,該反應性氣體含有氧及氮中的至少一者。
38)如態樣31至37中任一者之層沉積設備,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一雷射源、一氣體供應裝置,該氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
39)如態樣31至38中任一者之層沉積設備,至少一個無機材料層沉積站包含至少兩個ALD層沉積 室,該至少兩個ALD層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一雷射源及一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
40)如態樣31至39中任一者之層沉積設備,至少一個無機材料層沉積站包含至少一個PVD層沉積室。
41)如態樣41之層沉積設備,該PVD層沉積室係為一濺鍍層沉積室。
42)如態樣40之層沉積設備,該PVD層沉積室係為一蒸鍍室或一電子束蒸鍍室。
43)如態樣40至42中任一者之層沉積設備,該PVD層沉積室具有至少一金屬或金屬合金或該金屬或金屬合金的氧化物或氮化物或氮氧化物的一固體材料源。
44)如態樣31至43中任一者之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係彼此遠離,且該基板載具較佳係在一真空環境中可控制地從這些站中的一者移動至這些站中的下一者。
45)如態樣31至44中任一者之層沉積設備,其中至少一個PVD層沉積室及/或至少一個ALD層沉積室係包含針對沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中。
46)如態樣31至45中任一者之層沉積設備,其中具有用於單體進料的一進料管線系統及具有一電漿源的至少一個電漿聚合室係包含針對層沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接在該可控制地密封且可打開的沉積空間中。
47)如態樣31至46中任一者之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站在一共同沉積區域中執行沉積。
48)如態樣31至47中任一者之層沉積設備,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一圓形移動路徑,一系列超過一對的一無機材料層沉積站及一聚合物沉積站。
49)如態樣31至48中任一者之層沉積設備,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一圓形移動路徑,一系列的一無機材料層沉積站及直接接續該無機材料層沉積站的一聚合物沉積站。
50)如態樣31至49中任一者之層沉積設備,包含直接接續一無機材料層沉積站的一冷卻站。
51)如態樣31至50中任一者之層沉積設備係為一真空設備,包含至少一個輸入負載鎖及至少一個輸出負載鎖或至少一個雙向輸入/輸出負載鎖。
52)如態樣31至51中任一者之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積至一共同沉積區域上,且該控制單元係構造成 間歇性地啟用/禁用該等已提及的站。
53)如態樣31至52中任一者之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積在互相遠離的區域中,且該控制單元係構造成控制該基板載具在該等區域之間的移動。
54)如態樣31至53中任一者之層沉積設備,係構造成能夠在一受控過渡時間間隔之期間藉由一無機材料層沉積站及一聚合物沉積站兩者同時在一共同沉積區域中沉積。
55)如態樣31至54中任一者之層沉積設備,該進料管線系統係與含有一液態或氣態單體材料的一儲存器控制流動連通。
56)如態樣31至55中任一者之真空層沉積設備,該進料管線系統係與含有一含碳材料的一儲存器控制流動連通。
57)如態樣31至56中任一者之層沉積設備,該進料管線系統係與含有一含矽材料的一儲存器控制流動連通。
58)如態樣31至57中任一者之層沉積設備,該進料管線系統係與含有四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者的一儲存器控制流動連通。
59)如態樣31至58中任一者之層沉積設備,該基板載具係構造成同時承載超過一個的基板及/或超 過一個的起始基板。
60)如態樣31至59中任一者之層沉積設備,其中所有的聚合室均為電漿聚合室。
61)如態樣31至60中任一者之層沉積設備,具有下述特徵中的至少一者:●該基板載具係構造成承載一批基板及/或一批起始基板;●該基板載具係構造成承載複數個單一基板及/或複數個單一起始基板;●該基板載具的移動係為繞著遠離該等基板或起始基板的一軸及/或繞著該等基板或起始基板的各自中心軸的一旋轉運動;●該基板載具係在一真空環境中提供。
62)一種在一起始基板上提供一滲透阻障層系統或製造設置有一表面滲透阻障層系統的一基板之方法,該方法包含:a)藉由PVD及/或藉由ALD在一起始基板上沉積至少一個無機材料層系統來建立滲透密封,該至少一個無機材料層系統包含至少一個含有無機材料的層;b)藉由在該起始基板上直接地沉積包含至少一個含有聚合物材料的層的一聚合物材料層系統,且直接地沉積該無機材料層系統在該聚合物材料層系統上,提供該無機材料層系統與該起始基板的黏著及該無機材料層系統的裂縫密封。
63)如態樣62之方法,包含含有聚合物材料 的該層或含有聚合物材料的該等層中之至少一者的真空電漿聚合材料。
64)如態樣62或63之方法,其中建立該滲透密封係包含電漿增強ALD。
65)如態樣62至64中任一者之方法,至少一層係從一電隔離層沉積。
66)如態樣62至65中任一者之方法,該滲透阻障層系統係沉積成對可見光是透明的。
67)如態樣62至66中任一者之方法,其中在該沉積期間該起始基板的溫度不超過一預定值,該預定值較佳地不超過至多150℃。
68)如態樣62至67中任一者之方法,包含將包含至少一個含有聚合物材料的層的另一聚合物材料層系統直接地沉積在該無機材料層系統上。
69)如態樣62至68中任一者之方法,包含超過一個的含有聚合物材料的層的真空電漿聚合材料。
70)如態樣62至69中任一者之方法,包含重複該等步驟a)及b)。
71)如態樣62至70中任一者之方法,包含在該最後沉積的無機材料層系統上直接地沉積包含至少一個含有聚合物材料的層的另一聚合物材料層系統。
72)如態樣62至71中任一者之方法,包含在沉積一無機材料層系統中的至少一者之後或在沉積一無機材料層系統中的至少一者之期間,冷卻該基板。
73)如態樣62至72中任一者之方法,包含沉 積氧化矽的一含有無機材料的層。
74)如態樣62至73中任一者之方法,包含以一受控的方式在沉積一含有聚合物材料的層及沉積一含有無機材料的層之間的至少一個材料界面沉積,該界面係為包含已沉積的含有聚合物材料的該層的聚合物材料及含有無機材料的該層的無機材料的一材料。
75)如態樣62至74中任一者之方法,包含從一氣態或一液態材料沉積至少一個含有聚合物材料的層。
76)如態樣62至75中任一者之方法,包含從一含碳材料沉積至少一個含有聚合物材料的層。
77)如態樣62至76中任一者之方法,包含從一含矽材料沉積至少一個含有聚合物材料的層。
78)如態樣62至77中任一者之方法,包含從四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中之一者沉積至少一個含有聚合物材料的層。
79)如態樣62至78中任一者之方法,包含沉積至少一個含有無機材料的層,含有無機材料的該等層係包含下列中至少一者或由下列中至少者組成:氧化矽、氮化矽、氧化鋁、氮化鋁、氧化鈦、氮化鈦、氧化鉭、氮化鉭、氧化鉿或各自的氮氧化物。
80)如態樣62至79中任一者之方法,包含藉由濺鍍或藉由蒸鍍或藉由電子束蒸鍍或藉由ALD或藉由電漿增強ALD來沉積至少一個含有無機材料的層。
81)如態樣62至80中任一者之方法,包含藉由ALD在一ALD沉積室中沉積至少一個含有無機材料的層,且將一前驅物氣體及一反應性氣體進料至該ALD沉積室。
82)如態樣62至81中任一者之方法,包含藉由ALD在至少兩個接續的ALD沉積室中沉積至少一個含有無機材料的層,且將一前驅物氣體進料至該至少兩個ALD沉積室中的第一者以及將一反應性氣體進料至該至少兩個接續ALD沉積室中的第二者。
83)如態樣81或82中任一者之方法,該前驅物氣體含有矽或金屬。
84)如態樣83之方法,該金屬係為鋁、鉭、鈦、鉿中的至少一者。
85)如態樣81至84中任一者之方法,其中該反應性氣體含有氧及氮中的至少一者。
86)如態樣62至85中任一者之方法,包含在至少一個層沉積空間中沉積一含有無機材料的層、在該沉積期間密封該至少一個沉積空間、以及藉由直接連接至該沉積空間的一泵來泵送該沉積空間。
87)如態樣62至86中任一者之方法,包含在一層沉積空間中沉積一含有聚合物材料的層、在該沉積期間密封該沉積空間、以及藉由直接連接至該沉積空間的一泵來泵送該沉積空間。
88)如態樣62至87中任一者之方法,包含製造該滲透阻障層系統,以抑制水分子的滲透。
89)如態樣62至88中任一者之方法,係在真空中執行。
90)如態樣62至89中任一者之方法,係藉由如態樣31至61之設備來執行。

Claims (31)

  1. 一種層沉積設備,包含:˙一基板載具;˙至少一個無機材料層沉積站,包含至少一個PVD層沉積室及/或至少一個ALD層沉積室,每個無機材料層沉積站包含一無機材料源;˙至少一個聚合物沉積站,包含至少一個電漿聚合室,該電漿聚合室具有用於單體進料的一進料管線系統及一電漿源;˙一控制單元,係構造成控制該基板載具間歇性地暴露於來自該無機材料層沉積站及來自該至少一個聚合物沉積站的沉積效應,其中至少一個PVD層沉積室及/或至少一個ALD層沉積室係包含針對沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接該可控制地密封且可打開的沉積空間。
  2. 如請求項1之層沉積設備,包含至少一個冷卻站。
  3. 如請求項1或2之層沉積設備,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一氣體供應裝置,該氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
  4. 如請求項1或2之層沉積設備,至少一個無機材料層沉積站包含至少兩個ALD層沉積室,該至少兩個ALD 層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
  5. 如請求項3之層沉積設備,來自該前驅物儲存器的一前驅物氣體含有矽及金屬中的至少一者。
  6. 如請求項5之層沉積設備,該金屬係為鋁、鉭、鈦、鉿中的至少一者。
  7. 如請求項3之層沉積設備,該反應性氣體含有氧及氮中的至少一者。
  8. 如請求項1或2之層沉積設備,至少一個無機材料層沉積站包含至少一個ALD層沉積室,該至少一個ALD層沉積室包含一雷射源、一氣體供應裝置,該氣體供應裝置可操作地流動連接至含有一前驅物的至少一個前驅物儲存器及含有一反應性氣體的一反應性氣體儲存器。
  9. 如請求項1或2之層沉積設備,至少一個無機材料層沉積站包含至少兩個ALD層沉積室,該至少兩個ALD層沉積室中的一者包含一氣體供應裝置,該氣體供應裝置可操作地連接至含有一前驅物的一前驅物儲存器,該等ALD沉積室中的另一者包含一雷射源及一氣體供應裝置,該氣體供應裝置可操作地連接至含有一反應性氣體的一反應性氣體儲存器。
  10. 如請求項1或2之層沉積設備,至少一個無機材料層沉積站包含至少一個PVD層沉積室。
  11. 如請求項10之層沉積設備,該PVD層沉積室係為一濺鍍層沉積室。
  12. 如請求項10之層沉積設備,該PVD層沉積室係為一蒸鍍室或一電子束蒸鍍室。
  13. 如請求項10之層沉積設備,該PVD層沉積室具有至少一金屬或金屬合金或該金屬或金屬合金的氧化物或氮化物或氮氧化物的一固體材料源。
  14. 如請求項1或2之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係彼此遠離,且該基板載具較佳係在一真空環境中可控制地從這些站中的一者移動至這些站中的下一者。
  15. 如請求項1或2之層沉積設備,其中具有用於單體進料的一進料管線系統及具有一電漿源的至少一個電漿聚合室係包含針對層沉積操作為可控制地密封且針對基板處理為可打開的一沉積空間、以及一泵送埠,該泵送埠鄰接該可控制地密封且可打開的沉積空間。
  16. 如請求項1或2之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站在一共同沉積區域中執行沉積。
  17. 如請求項1或2之層沉積設備,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一圓形移動路徑,一系列超過一對的一無機材料層沉積站及一聚合物沉積站。
  18. 如請求項1或2之層沉積設備,包含沿著該基板載具的一線性或沿著一通常彎曲或沿著一圓形移動路徑,一系列的一無機材料層沉積站及直接接續該無機材料層沉積站的一聚合物沉積站。
  19. 如請求項1或2之層沉積設備,包含直接接續一無機材料層沉積站的一冷卻站。
  20. 如請求項1或2之層沉積設備,係為一真空設備,包含至少一個輸入負載鎖及至少一個輸出負載鎖或至少一個雙向輸入/輸出負載鎖。
  21. 如請求項1或2之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積至一共同沉積區域上,且該控制單元係構造成間歇性地啟用/禁用該等已提及的站。
  22. 如請求項1或2之層沉積設備,其中至少一個無機材料層沉積站及至少一個聚合物沉積站係沉積在互相遠離的區域中,且該控制單元係構造成控制該基板載具在該等區域之間的移動。
  23. 如請求項1或2之層沉積設備,係構造成能夠在一受控過渡時間間隔之期間藉由一無機材料層沉積站及一聚合物沉積站兩者同時在一共同沉積區域中沉積。
  24. 如請求項1或2之層沉積設備,該進料管線系統係與含有一液態或氣態單體材料的一儲存器控制流動連通。
  25. 如請求項1或2之真空層沉積設備,該進料管線系統係與含有一含碳材料的一儲存器控制流動連通。
  26. 如請求項1或2之層沉積設備,該進料管線系統係與含有一含矽材料的一儲存器控制流動連通。
  27. 如請求項1或2之層沉積設備,該進料管線系統係與含有四甲基矽烷(TMS)、六甲基二矽氧烷(HMDS(O))、六甲基二矽氮烷(HMDS(N))、四乙基原矽烷(TEOS)、乙炔、乙烯中的至少一者的一儲存器控制流動連通。
  28. 如請求項1或2之層沉積設備,該基板載具係構造成同時承載超過一個的基板及/或超過一個的起始基板。
  29. 如請求項1或2之層沉積設備,其中所有的聚合室均為電漿聚合室。
  30. 如請求項1或2之層沉積設備,具有下述特徵中的至少一者:˙該基板載具係構造成承載一批基板及/或一批起始基板;˙該基板載具係構造成承載複數個單一基板及/或複數個單一起始基板;˙該基板載具的移動係為繞著遠離該等基板或起始基板的一軸及/或繞著該等基板或起始基板的各自中心軸的一旋轉運動;˙該基板載具係在一真空環境中提供。
  31. 一種藉由如請求項1至30中至少一項之設備在一起始基板上提供一滲透阻障層系統或製造設置有一表面滲透阻障層系統的一基板的方法。
TW107124119A 2017-07-27 2018-07-12 層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法 TWI770226B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CH00977/17 2017-07-27
CH9772017 2017-07-27

Publications (2)

Publication Number Publication Date
TW201910546A TW201910546A (zh) 2019-03-16
TWI770226B true TWI770226B (zh) 2022-07-11

Family

ID=62916666

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107124119A TWI770226B (zh) 2017-07-27 2018-07-12 層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法
TW107124118A TW201918577A (zh) 2017-07-27 2018-07-12 滲透阻障

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107124118A TW201918577A (zh) 2017-07-27 2018-07-12 滲透阻障

Country Status (7)

Country Link
US (2) US20200230643A1 (zh)
EP (2) EP3658700A1 (zh)
JP (2) JP2020528494A (zh)
KR (2) KR20200037824A (zh)
CN (2) CN110914469A (zh)
TW (2) TWI770226B (zh)
WO (2) WO2019020391A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
CN110943182A (zh) * 2019-11-22 2020-03-31 武汉华星光电半导体显示技术有限公司 有机电致发光器件
US11898248B2 (en) * 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
US20210193441A1 (en) * 2019-12-18 2021-06-24 Jiangsu Favored Nanotechnology Co., Ltd. Coating Apparatus and Coating Method
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200305348A (en) * 2002-03-25 2003-10-16 Pioneer Corp Organic electroluminescent display panel and manufacturing method therefor
CN1768160A (zh) * 2003-02-04 2006-05-03 泰格尔公司 将不渗透膜沉积到多孔低介电常数介电膜上的方法
CN101167160A (zh) * 2005-04-22 2008-04-23 Vitex系统公司 用于在分立薄片上沉积多层涂层的装置
CN102696116A (zh) * 2009-08-05 2012-09-26 纳幕尔杜邦公司 涂覆阻挡层的薄膜光伏电池
TW201508087A (zh) * 2013-08-16 2015-03-01 Samsung Display Co Ltd 薄膜封裝層製造設備及使用其製造顯示設備之方法
US20170067151A1 (en) * 2014-03-04 2017-03-09 Toyo Seikan Group Holdings, Ltd. Gas barrier laminate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2828152B2 (ja) * 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
JP3773320B2 (ja) * 1997-01-09 2006-05-10 新明和工業株式会社 成膜装置及び成膜方法
JP3783099B2 (ja) * 2000-05-16 2006-06-07 株式会社豊田中央研究所 有機電界発光素子
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US20070039545A1 (en) * 2003-05-26 2007-02-22 Shinmaywa Industries, Ltd System and method for film formation
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
WO2010105967A2 (en) 2009-03-18 2010-09-23 Oc Oerlikon Balzers Ag Vacuum treatment apparatus
CN102388467B (zh) 2009-03-18 2015-05-13 欧瑞康先进科技股份公司 串联式制造太阳能电池板的方法
EP3210240A1 (en) 2014-12-11 2017-08-30 Evatec AG Apparatus and method especially for degassing of substrates
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200305348A (en) * 2002-03-25 2003-10-16 Pioneer Corp Organic electroluminescent display panel and manufacturing method therefor
CN1768160A (zh) * 2003-02-04 2006-05-03 泰格尔公司 将不渗透膜沉积到多孔低介电常数介电膜上的方法
CN101167160A (zh) * 2005-04-22 2008-04-23 Vitex系统公司 用于在分立薄片上沉积多层涂层的装置
CN102696116A (zh) * 2009-08-05 2012-09-26 纳幕尔杜邦公司 涂覆阻挡层的薄膜光伏电池
TW201508087A (zh) * 2013-08-16 2015-03-01 Samsung Display Co Ltd 薄膜封裝層製造設備及使用其製造顯示設備之方法
US20170067151A1 (en) * 2014-03-04 2017-03-09 Toyo Seikan Group Holdings, Ltd. Gas barrier laminate

Also Published As

Publication number Publication date
EP3658700A1 (en) 2020-06-03
CN110914469A (zh) 2020-03-24
EP3658699A1 (en) 2020-06-03
US20200216955A1 (en) 2020-07-09
US20200230643A1 (en) 2020-07-23
WO2019020393A1 (en) 2019-01-31
KR20200037824A (ko) 2020-04-09
JP2020528494A (ja) 2020-09-24
WO2019020391A1 (en) 2019-01-31
TW201918577A (zh) 2019-05-16
JP2020528107A (ja) 2020-09-17
TW201910546A (zh) 2019-03-16
KR20200037825A (ko) 2020-04-09
CN110892090A (zh) 2020-03-17

Similar Documents

Publication Publication Date Title
TWI770226B (zh) 層沉積設備及在起始基板上提供滲透阻障層系統或製造設置有表面滲透阻障層系統的基板的方法
KR102082343B1 (ko) 유기 발광 다이오드의 하이브리드 캡슐화를 위한 방법
US8808457B2 (en) Apparatus for depositing a multilayer coating on discrete sheets
KR102293637B1 (ko) 선택적으로 막을 형성하는 방법 및 시스템
KR102132427B1 (ko) 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
CN114582709A (zh) 氧化铝层的沉积
KR20170013832A (ko) 센서티브 재료들 상에 할라이드 함유 ald 막을 집적하는 방법
KR100789858B1 (ko) 절연막의 형성 방법, 절연막 형성 장치 및 반도체 장치의 제조 방법
KR20190050854A (ko) 상호접속 구조체들을 위한 합성 유전체 계면 층들
JP6457307B2 (ja) 半導体装置の製造方法、及び半導体製造装置
KR20230042072A (ko) 분자층 증착 방법 및 시스템
JP2022145802A (ja) 有機発光ダイオードの封止方法
KR20180030440A (ko) SiC막의 성막 방법 및 성막 장치
JP7304435B2 (ja) 基板上に膜を形成するための方法およびシステム
US20240071817A1 (en) Adhesion improvement between low-k materials and cap layers
JPH10319208A (ja) 複合式連続薄膜形成装置