KR20070012508A - 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치 - Google Patents

플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치 Download PDF

Info

Publication number
KR20070012508A
KR20070012508A KR1020067024492A KR20067024492A KR20070012508A KR 20070012508 A KR20070012508 A KR 20070012508A KR 1020067024492 A KR1020067024492 A KR 1020067024492A KR 20067024492 A KR20067024492 A KR 20067024492A KR 20070012508 A KR20070012508 A KR 20070012508A
Authority
KR
South Korea
Prior art keywords
substrate
depositing
film
sccm
gas
Prior art date
Application number
KR1020067024492A
Other languages
English (en)
Inventor
리 호우
태경 원
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070012508A publication Critical patent/KR20070012508A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/10OLEDs or polymer light-emitting diodes [PLED]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Nonlinear Science (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

대형 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및 장치가 본 발명에 기재되어 있다. 저온(<80℃) 무기성 필름은 플라스틱 기판에 잘 접착되지 않는다. 따라서, 저온(<80℃) 플라즈마 예비 처리는 접착 특성을 개선하기 위해 부가된다. 플라즈마 예비 처리를 한 무기성 필름은 양호한 접착 특성 및 기밀 특성을 나타낸다.

Description

플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및 장치 {METHOD AND APPARATUS OF DEPOSITING LOW TEMPERATURE INORGANIC FILMS ON PLASTIC SUBSTRATES}
본 발명의 실시예는 일반적으로, 화학 기상 증착 처리를 이용하는 박막 증착에 관한 것이다. 보다 구체적으로, 본 발명은 대형 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및 장치에 관한 것이다.
유기 발광 다이오드(OLED) 디스플레이는 액정 디스플레이(LCD)에 비해, 빠른 응답 시간, 보다 큰 가시 각도, 보다 높은 명암 대비(contrast), 보다 가벼운 중량, 보다 낮은 전력 및 가용성 기판에 대한 수정 가능성(amenability)의 점에서 디스플레이 분야에 있어서, 최근 상당한 이점을 달성하고 있다. 유기 발광 다이오드(OLED) 디스플레이는 이중층 유기 발광 소자로부터 효율적인 전기 발광(EL)이 1987년 씨.더블유. 탕 (C.W. Tang) 및 에스.에이. 슬리케 (S.A. Van Slyke)에 의해 보고된 후에, LCD 디스플레이와 심한 경쟁을 해오고 있다. 대량의 유기 재료는 블루 영역을 포함하는 가시 스펙트럼 내에서의 극도로 높은 형광 양자 효율을 갖는 것으로 공지되어 있다. 이에 관해서, 유기 재료는 다중컬러 디스플레이 분야에서 이론상으로 적합하다. 그러나, 유기 EL 소자의 개발은 전하를 단일 층 유기 결정 내측으로 주입하기 위해서 요구되는 고전압으로 인하여 성공적일 수 있다. 두 개의 주입 전극들 사이에 끼워진 유기 재료 단일층에 비해, 씨.더블유. 탕 및 에스.에이. 슬리케에 의한 이중 층 또는 유기 재료의 발견은 작동 전압을 낮추며 OLED 실행 분야를 가능하게 할 수 있다.
이중 층 OLED의 발견에 따라서, OLED 내의 유기 층은 여러 기능을 하는 각각의 층을 갖추어 복합 층으로 전개된다. OLED 셀 구조물은 투명 양극과 금속 음극 사이에 끼워진 유기층의 적층으로 구성되어 있다. 도 1은 기판(101) 상에 설립된 OLED 소자 구조물의 예를 도시하고 있다. 투명 양극 층(102)이 기판(101) 상에 증착된 후에, 유기층의 적층은 양극 층(102) 상에 증착된다. 유기층은 홀 주입 층(103), 홀 이송 층(104), 방사성 층(105), 전자 이송 층(106) 및 전자 주입 층(107)을 포함할 수 있다. OLED 셀을 설립하는데 유기층의 모든 층(5)이 필요하지 않음을 주목해야 한다. 1987년 어플라이드 물리서 제 51권, 913 페이지에 기재되어 있는 이중층 OLED 소자는 홀 이동 층(104) 및 방사성 층(105)만을 포함한다. 유기 층 증착에 따라서, 금속 음극(108)은 유기층 적층의 상부에 증착된다. 적합한 전압(110)(통상적으로, 약간의 전압)이 셀에 인가되는 경우에, 주입되는 양전하 및 음전하는 빛(120; 전기발광)을 생성시키기 위해서 방사성 층 내에서 재결합한다. 유기층 구조물 및 양극과 음극의 선택은 방사성 층 내의 재결합 공정을 최대하시키도록 설계되어 OLED 소자로부터 빛 산출을 최대화시킨다.
OLED가 한계 수명을 갖는 것을 나타내는 최근 연구는 EL 효율성에서의 감소와 구동 전압에서의 증가를 특징으로 한다. OLED 퇴보의 주요인은 수분 또는 산소 진입으로 인한 비 방사성 흑점(dark spot)의 형성이다. 방사성 층은 8-하이드록시퀴놀린 알루미늄(Alq3)(도 2의 화학 구조물 참조)로부터 종종 생성된다. 습한 대기중 노출은 초기 무정형 필름 내에 Alq3 결정 구조물의 형성을 야기하는 것으로 발견되었다. Alq3 층 내의 결정 클러스터의 형성은 음극 박리(delamination)를 야기하며, 그 후, 때맞춰 성장하는 비 방사성 흑점을 생성시킨다.
따라서, OLED 소자 하부를 보호하는 양호한 기밀성 및 접착 특성을 갖추어 대형 플라스틱 기판 상에 부동화 필름(passivation film)을 증착하는 방법이 필요하다.
기판 상에 저온 무기성 필름을 증착하는 방법 및 장치의 실시예가 제공된다. 일 실시예에서, 기판 상에 무기성 필름을 증착하는 저온 박막 증착 방법은 증착 공정 기판을 챔버 내에 위치시키는 단계, 기판 상에서 플라즈마 처리를 수행하는 단계, 및 80 ℃ 미만의 온도로 기판 상에 무기성 필름을 증착시키는 단계의 연속 단계를 포함한다.
다른 실시예에서, 기판 상에 저온 무기성 필름을 증착하는 방법은 증착 공정 챔버 내에 기판을 위치시키는 단계, 기판 상에 플라즈마 처리 공정을 수행하는 단계, 및 실리콘 함유 가스, NH3, 질소 함유 가스, 산소 함유 가스, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는 가스 혼합물로 기판 상에 80 ℃ 미만의 온도로 무기성 필름을 증착하는 단계의 연속 단계를 포함한다.
다른 실시예에서, 기판 상에 부동화 필름을 증착하는 저온 박막 증착 방법은 기판을 증착 공정 챔버 내에 위치시키는 단계, 기판 상에 플라즈마 처리 공정을 수행하는 단계, 및 80 ℃ 미만의 온도로 부동화 필름을 기판 상에 증착하는 단계의 연속 단계를 포함한다.
또 다른 실시예에서, 장치는 증착 공정 챔버, 플라스틱 기판을 지지하도록 챔버 내에 배치되는 기판 지지부, 플라즈마 가스를 증착 공정 챔버 내에 제공하도록 챔버에 연결된 RF 공급원, 무기성 가스를 증착 공정 챔버에 제공하는 가스 공급원, 기판의 온도를 80 ℃ 이하로 제공하며 무기성 필름을 상부에 증착하기 위한 제어기를 포함한다.
본 발명의 전술된 특징들을 보다 잘 이해하기 위해서, 간단하게 전술한 본 발명을 몇몇의 예가 첨부 도면에 도시되어 있는 실시예를 참조하여 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
도 1(종래 기술)은 OLED 소자의 개략적 횡단면도이며,
도 2(종래 기술)는 8-하이드록시퀴놀린 알루미늄(Alq3)의 화학 구조물을 도시한 도면이며,
도 3은 상부에 증착되는 기밀 층을 갖춘 기본 OLED 소자의 개략적 횡단면도 이며,
도 4는 디아민의 화학 구조물을 도시하는 도면이며,
도 5는 공정 챔버 내의 기판 상에 박막을 증착하는 공정 흐름도이며,
도 6은 본 발명의 일 실시예의 가스 분배 판 조립체를 갖는 예시적 처리 챔버의 개략적 횡단면도이다.
본 발명은 일반적으로, 대형 플라스틱 기판 상에 저온 필름을 증착하는 방법 및 장치에 관한 것이다. 본 발명은 플라스틱 기판 상에 OLED, 유기 TFT, 솔라 셀, 등과 같은 임의의 소자에 적용된다. 기판은 반도체 웨이퍼 제조용으로 원형 또는 평면 패널 디스플레이 제조용으로 직사각형과 같은 다각형일 수 있다. 평면 패널 디스플레이용 표면적인 직사각형인 기판은 통상적으로 대형이며, 약 300 mm × 약 400 mm(또는 120000 ㎟) 이상의 직사각형이다.
본 발명은 캘리포니아, 산타 클라라(Santa Clara, California)에 소재하는 어플라이드 머티어리얼즈(Applied Materials)의 자회사인 에이케이티(AKT)로부터 이용가능한 플라즈마 강화 화학 기상 증착(PECVD) 시스템과 같은 대형 기판을 처리하도록 구성되는 플라즈마 강화 화학 기상 증착 시스템과 관련하여 예시적으로 아래에 기재되어 있다. 그러나, 본 발명은 다른 화학 기상 증착 시스템 및 다른 필름 증착 시스템과 같은 다른 시스템 구성에서의 효용성을 가지며, 이러한 시스템은 원형 기판을 처리하도록 구성된 시스템을 포함하는 것을 알아야 한다.
실리콘 질화물(SiN), 실리콘 산질화물(SiON) 및 실리콘 산화물(SiO)과 같은 플라즈마 강화 화학 기상 증착(PECVD) 필름은 실리콘 집적 회로(IC) 칩의 평면 부분 상에 금속화를 위한 효율적인 부동화 보호용코팅과 같이 70년대 초기에 개발되었다. 이후로, SiN, SiON, 및 SiO 필름은 수분, 공기 및 부식성 이온에 대해 효과적인 배리어 층으로서 플라스틱 캡슐화된 마이크로회로용 전자 패키징에 적용될 수 있다. SiN 및 SiON 필름은 특히, 수분 및 공기에 대해 차단 효과가 있으며 양호한 기밀 특성을 갖는다. OLED의 상부에 기밀 특성을 갖는 부동화 층을 증착하는 것은 비 방사성 흑점이 갖는 현존하는 문제점을 상당히 감소시키며, 소자의 수명을 연장시킨다. 유기 층 내의 잔여 수분의 존재는 캡슐화 장치에서조차 Alq3 결정화 공정을 촉진시킬 수도 있다는 점을 주목하는 것이 중요하다. 증착되는 부동화 층은 필름의 다중 층을 포함할 수 있다.
유기 층의 열 성능에 관하여, 부동화 층 증착 공정은 80℃ 이하와 같은 저온에서 유지될 수 있다. 양호한 기밀 특성 이외에, 부동화 필름은 플라스틱 기판에 잘 접착되도록 필요하며, 필름이 기판 표면으로부터 분리되지 않게 하며, 수분 및 공기가 필름이 부동화된다면 장치 하부의 질을 떨어뜨리도록 통과하지 않게 한다.
도 3은 기본 OLED 소자 구조물의 예를 도시한 도면이다. 투명 양극 층(202)은 폴리에틸렌에테르프탈레이트(PET) 또는 폴리에틸렌나프탈레이트(PEN)와 같은 유리 또는 플라스틱으로 구성될 수 있는 기판(201) 상에 증착된다. 투명 양극 층(202)의 예는 200 Å 내지 2000 Å의 범위 내의 두께를 갖는 인듐-주석-산화물(ITO)이다. 홀 이송 층(204)은 양극 층(202)의 상부에 증착된다. 홀 이송 층(204)의 예는: 디아민(도 4의 화학 구조물 참조) 을 포함하며, 상기 디아민은 200 Å 내지 1000 Å의 범위에서, 나프틸-치환 벤지딘(NPB) 유도체, 및 N,N'-디페닐-N,N'-비스(3-메틸페닐)-(1,1'-바이페닐)-4,4'-디아민(TPD)이다. TPD는 2×10-6 토르 미만의 기본 압력으로 진공 챔버 내의 차폐 몰리브덴 도가니(baffled Mo cucible)로부터 열적 증발에 의해 기판 상에 증착될 수 있다.
홀 이송 층(204) 증착에 따라서, 방사성 층(205)이 증착된다. 방사성 층(205)을 위한 재료는 통상적으로, 형광 금속 킬레이트 복합제 종류에 속한다. 예로서, 8-하이드록시퀴놀린 알루미늄(Alq3)이다. 방사성 층의 두께는 통상적으로, 200 Å 내지 1500 Å이다. 방사성 층(205) 증착에 따라서, 유기 층은 패턴화된다. 상부 전극(208)은 증착되어 패턴화된다. 상부 전극(208)은 금속, 금속의 혼합물, 또는 금속의 합금일 수 있다. 상부 전극의 예는 1000 Å 내지 3000 Å의 마그네슘(Mg), 은(Ag) 및 알루미늄(Al)의 합금이다.
OLED 소자 구조가 완성된 후에, 부동화 층(209)이 증착된다. 기밀 특성을 갖는 부동화 층의 예는 300 Å 내지 5000 Å의 두께 범위로 증착되는 실리콘 질화물(SiN) 또는 실리콘 산질화물(SiON)을 포함한다.
유기층의 열 안정성과 관련하여, 부동화 층 증착 공정은 80℃ 이하와 같은 저온에서 유지될 수 있다. SiN 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치 사이의 가스 분배판과 기판 표면 사이의 간격 및 약 40 ℃ 내지 약 80 ℃ 사이의 증착 온 도하에서, SiH4와 같은 실리콘 함유 가스를 100 sccm 내지 5000 sccm의 유량으로, NH3와 같은 질소 함유 가스를 약 100 sccm 내지 5000 sccm의 유량으로, 및/또는 N2와 같은 다른 질소 함유 가스를 약 2000 sccm 내지 6000 sccm의 유량으로 유동시킴으로써 증착될 수 있다. SiON 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치 사이의 가스 분배판과 기판 표면 사이의 간격 및 약 40 ℃ 내지 약 80 ℃ 사이의 증착 온도하에서, SiH4와 같은 실리콘 함유 가스를 약 50 sccm 내지 500 sccm의 유량으로, N2O와 같은 산소 함유 가스를 약 200 sccm 내지 2000 sccm의 유량으로, 및/또는 N2와 같은 질소 함유 가스를 약 3000 sccm 내지 6000 sccm의 유량으로 유동시킴으로써 증착될 수 있다. SiO 필름은, 약 1000 와트 내지 약 4000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치 사이의 가스 분배판과 기판 표면 사이의 간격 및 약 40 ℃ 내지 약 80 ℃ 사이의 증착 온도하에서 SiH4와 같은 실리콘 함유 가스를 약 100 sccm 내지 600 sccm의 유량으로, N2O와 같은 산소 함유 가스를 약 5000 sccm 내지 15000 sccm의 유량으로 유동시킴으로써 증착될 수 있다.
저온 기밀성 필름 증착에 있어서 하나의 문제점은 PET 또는 PEN과 같은 플라스틱 기판에 대한 접착 특성이다. 부동화 필름과 기판 사이에 양호한 접착이 없다면, 증착되는 부동화 필름은 기판으로부터 분리되어 기밀성을 느슨하게 한다. 부 동화 필름 증착 이전에 플라즈마 처리는 접착 특성을 개선시킬 수 있다. 플라즈마 처리 공정은 유기 필름 하부의 열적 불안정성으로 인해 저온(<80℃)일 필요가 있다. 접착 품질은 증착된 기판 상에서 가시 점검 및 스카치 테이프 필링 테스트(scotch tape peeling test)에 의해 테스트되며, 극도의 수분 상태하에서 필름 보전 및 접착 특성에 강압을 가하는데 이용되는, (약 110 내지 120℃로) 끓는 물을 갖춘 압력 쿠커 내에 99분 동안 가라앉는다. 압력 쿠커는 일리노이주, 레이크 포레스트의 살톤 인코포레이티드에 의해 제조된, 파버웨어 압력 쿠커(Farberware pressure cooker)이다. 가시 점검은 전체 접착 문제를 탐지하는데 이용된다. 접착 특성이 "불량" 하다면, 증착된 필름은 기판으로부터 필링될 수 있으며, 기판 표면 상에 버블을 형성할 수 있거나, 기판의 일부분 또는 전체에 걸쳐서 투명하거나 빛이나는 대신에, 흐릿할 수 있다. 스카치 테이프 필링 테스트는 증착된 기판이 가시 점검을 통과한 수행된다. 스카치 테이프 필링 테스트는 기판 표면 상에 스카치 테이프 조각의 점착 측면을 위치시킴으로써 수행되며, 그 후, 기판 표면으로부터 테이프를 벗겨낸다. 접착 특성이 "양호"하다면, 스카치 테이프는 증착된 필름을 초래하지 않고 벗겨진다. 접착 특성이 충분히 양호하지 않다면, 증착된 필름은 기판 표면으로부터 분리되며 스카치 테이프와 같이 벗겨진다. 증착된 필름이 가시 점검을 통과하는 경우에, 스카치 테이프 필링 테스트에 도움이 되지는 않지만 접착 특성은 "공정"으로서 기재된다.
표 1은 플라즈마 처리 없이 PET 플라스틱 기판 상에 증착되는 다양한 부동화 필름의 증착 상태를 보여주고 있다. 모든 필름은 가시 점검에 의해서 2시간 동안 끓는 물 내에 위치된 후에 PET 기판에 불량한 접착을 나타낸다. "불량" 접착은 압력 쿠커 응력 전 후 불량한 접착으로 인해 "흐릿함"을 나타낸다. 유전체 필름은 기판에 잘 접착되며 기판 상에 투명함 및 빛을 나타낼 수 있으며 기판 반사를 형성한다. 표 1의 모든 필름은 약 10000 Å의 두께를 가지고 60℃에서 증착된다.
Figure 112006085604889-PCT00001
표 1은 플라즈마 처리 없이 PET에 불량한 접착을 나타내고 있는 다양한 부동화 필름의 증착 상태를 보여주고 있다.
표 1에서 플라즈마 예비 처리 없이 증착된 SiN, SiON, 및 SiO 필름의 불량한 접착 결과는 전술된 플라즈마 예비 처리가 증착된 필름과 기판 사이의 접착이 개선될 필요가 있음을 보여주고 있다. 도 5는 부동화 층 증착 이전 플라즈마 처리 공정 단계 및 부동화 층 증착의 공정 흐름(500)을 보여주고 있다. 단계(510)는 기판 상에 OLED 소자를 형성하는 공정을 기재하고 있다. 그 후, 기판은 단계(520)에서 증착 공정 챔버 내에 위치된다. 부동화 층을 증착하기 이전에, 기판은 기판에 부동화 층의 접착을 증가시키도록 단계(530)로 플라즈마 처리된다. 플라즈마 처리 단계(530) 이후에, 기판은 단계(540)에서 부동화 층 증착을 수용한다. 불활성 가스의 예는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 이들의 조합물을 포함하며, 아르곤 및 헬륨이 일반적으로 이용된다.
플라즈마 처리는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), H2, NH3와 같은 수소 함유 가스, N2, NH3와 같은 질소 함유 가스, 또는 이들 가스의 혼합물로 수행될 수 있다. 플라즈마 처리 가스의 유량은 500 sccm 내지 약 4000 sccm이다. 처리 공정의 압력은 0.1 토르 내지 5 토르로 떨어진다. 기판과 가스 확산기판 사이의 간격은 약 0.4 인치 내지 약 1.4 인치이다. 플라즈마 전력은 약 400 와트 내지 약 3000 와트이다. 플라즈마 처리 시간은 2 초 내지 약 10 분이다. 처리 공정에 영향을 미칠 수 있는 매개 변수는: 증착되는 필름 형태, 기판 재료, 처리 가스 형태, 처리 가스 유량, 압력, 기판과 가스 확산기판 사이의 간격, 플라즈마 전력 레벨 및 플라즈마 처리 시간을 포함한다. 플라즈마는 본래 위치 또는 그외 위치(ex-situ)(또는 멀리 떨어져) 발생할 수 있다. 플라즈마 전력 원은 RF 전력 또는 마이크로파 파워일 수 있다.
표 2는 PET 기판 상의 SiN 필름에 있어서 접착 개선에 대한 Ar 플라즈마 처리 시간의 효과를 보여주고 있다. SiN 필름은 압력 2.1 토르, 0.9 인치의 가스 확산기판과 기판 표면의 간격, 및 약 5000Å의 두께에서 60 ℃온도하에서 250 sccm SiH4, 300 sccm NH3, 5500 sccm N2, 900 와트의 RF하에서 증착된다. Ar 플라즈마 예비 처리는 1500 sccm Ar, 1.2 토르 및 1 인치의 가스 확산기와 기판 표면의 간격 및 60 ℃하에서의 공정이다.
Figure 112006085604889-PCT00002
표 2의 데이터는 120초 동안 750 와트의 RF 전력에서의 플라즈마 예비 처리가 양호한 접착 특성을 나타내지만, 240초의 보다 긴 예비 처리는 양호에서 적합한 접착 특성으로 떨어진다. "양호(Good)" 접착은 전체 기판에 걸쳐서 가시 점검 또는 스카치 테잎 필링 테스트에 의해 필링이 관찰되지 않음을 의미한다. "적합(Fair)" 접착은 증착된 기판이 가시 점검은 통과하지만, 스카치 테잎 필링 테스트에는 실패하는 것을 의미한다. 모든 증착된 기판이 99분 동안 끓는 물이 있는 압력 쿠커 내에 침지된다. 이러한 결과는 보가 긴 플라즈마 처리가 보다 양호한 접착 특성을 항상 부여하는 것이 아님을 보여주고 있다. 표 2 데이터는 접착 특성이 90초 내지 180초 사이에서 양호하기 때문에 1000 와트에서 공정 윈도우가 꽤 넓음을 또한 보여준다. 1800 와트에서, 접착 특성은 30초 내지 60초 처리에 있어서 양호하다.
표 3은 약 5000 옹스트롬의 두께의, 두 개의 SiON 필름, SiON-2 및 SiON-4의 접착 개선에 있어서 Ar 플라즈마 처리의 효과를 보여주고 있다. SiON 필름은 750 sccm N2O, 4500 sccm N2, 1500 와트, 1.9 토르 챔버 압력, 1 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 60 ℃ 기판 온도하에서 증착된다. SiON-2는 200 sccm SiH4로 증착되며, SiON-4는 300 sccm SiH4로 증착된다. Ar 플라즈마 예비 처리는 1500 sccm Ar, 1.2 토르와 1 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 60℃ 기판 온도하에서의 공정이다.
Figure 112006085604889-PCT00003
표 3의 결과는 Ar 예비 처리가 SiON-2 필름에 있어서 적합한 접착 결과를 부여함을 나타내며, 스카치 테잎 필링 테스트에서는 실패함을 나타내며, 그리고 SiON 필름은 가시 점검으로 불량한 접착을 나타내며 흐릿함이 확인된다.
Ar 플라즈마 처리 이외에, H2 플라즈마 처리는 SiON 필름 상에서 테스트 되기도 한다. 표 4는 약 5000 Å 두께의 세 개의 SiON 필름, SiON-2, SiON-3, SiON-4의 접착 개선에 있어서 H2 플라즈마 처리 시간의 효과를 보여주고 있다. 세 개 모두의 SiON 필름은 750 sccm N2O, 4500 sccm N2, 1150 와트, 1.9토르, 0.7 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 60 ℃ 기판 온도하에서 증착된다. SiON-2는 200 sccm SiH4로 증착되며, SiON-3는 250 sccm SiH4로 증착되며, 그리고 SiON-4는 300 sccm SiH4로 증착된다. H2 플라즈마 예비 처리는 1500 sccm H2, 1.5 토르, 1 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 60℃하에서의 공정이다.
Figure 112006085604889-PCT00004
120초 동안 1500 와트 RF 및 1.5 인치의 가스 확산기판과 기판 표면 사이의 간격하에서 H2 플라즈마 처리는 PET 기판 상에 흐릿한 SiON-2 필름을 야기할 수 있다. 1000 및 2000 와트 RF 전력, 및 90 초 및 180초 동안의 1 인치의 간격하에서의 H2 플라즈마 처리는 SiON-3 필름과 PET 기판 사이의 양호한 접착 특성을 야기한다. SiON-4 필름은 1500 와트 RF 전력 및 120초 동안 1인치 간격에서 H2 플라즈마 처리되며 양호한 접착 결과를 보여준다.
전술된 결과는 Ar과 같은 불활성 가스, 또는 H2와 같은 수소 함유 가스로 플라즈마 예비 처리가 PET와 같은 플라스틱 기판 상에서 SiN, SiON 또는 SiO와 같은 부동화 층의 접착을 개선하는 것을 보여주고 있다. 이와 같은 데이터는 유기 부동화(또는 기밀성) 필름과 플라스틱 기판 사이의 접착 특성을 개선하기 위한 플라즈마 처리를 이용하는 실행 가능성만을 증명한다. 증착된 필름 형태, 기판 재료, 플라즈마 처리 가스 형태, 플라즈마 처리 가스 유량, 플라즈마 전력 레벨, 플라즈마 압력, 기판과 가스 확산기판 사이의 간격 및 플라즈마 처리 시간은 플라즈마 처리에 모두 영향을 미칠 수 있으며 접착 특성에 영향을 미칠 수 있다.
양호한 접착 특성 이외에, OLED 소자를 보호하는데 이용되는 부동화 필름은 기밀 특성을 가질 수도 있다. 표 5는 SiON 필름과 SiN 필름의 산소 투과성을 비교하고 있다. SiN 필름은 약 5000 Å 두께에 대해, 900 와트의 RF, 2.1 토르 압력, 0.9 인치의 가스 확산기판과 기판 표면의 간격, 및 60 ℃의 온도하에서, 250 sccm SiH4, 300 sccm NH3, 5500 sccm N2하에서 증착된다. SiN 필름 증착 이전에, PET 플라스틱 기판은 Ar 플라즈마 예비 처리된다. Ar 플라즈마 예비 처리는 1500 sccm Ar, 1000 와트, 1.2 토르 및 1 인치의 가스 확산기와 기판 표면 사이의 간격 및 120초 동안 60℃ 하에서 처리된다. 증착되는 SiN 필름은 증착되는 기판이 99분 동안 끓는 물로 압력 쿠커 내에 침지된 후에 가시 및 필링 테스트 모두를 통과한다. SiON-5 필름은 약 5000 Å 두께에서, 130 sccm SiH4, 750 sccm N2O, 4500 sccm N2, 1150 와트, 1.9 토르, 0.7 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 60℃의 기판 온도하에서 증착된다. SiON-5 필름 증착 이전에, PET 플라스틱 기판은 H2 플라즈마 예비 처리된다. H2 플라즈마 예비 처리는 1500 sccm H2, 1500 와트, 1.5 토르, 1 인치의 가스 확산기판과 기판 표면 사이의 간격, 및 120 초 동안 60℃ 하에서 처리된다. 증착되는 SiON-5 필름은 85℃에서 85% 수분(85%/85℃)으로 100 시간 수분 응력을 견뎌낸다. SiON-5 필름의 증착률은 -0.50 E9 dynes/㎠의 필름 응력을 갖춘 약 872 Å/min이다.
Figure 112006085604889-PCT00005
O2 투과성 테스트는 미네소타(Minnesota), 미네아폴리스의 모콘 아이엔씨(Mocon Inc. of Minneapolis)에 의해 제조된 산소 투과 및 전달 측정 시스템, OX-TRAN에 의해 수행된다. 측정은 PET 기판 상에 증착되는 5000 Å 필름 상에서 25 ℃에서 수행된다. 이러한 결과는 SiN 및 SiON-5 필름이 저 산소 투과성을 갖음을 보여주고 있다. SiON-5 필름의 산소 투과성은 SiN 필름보다 낮다.
산소 투과성 테스트 이외에, 수분 투과성은 SiON-5 필름에 있어서 또한 측정된다. 수분 투과성 테스트는 미네소타, 미네아폴리스의 모콘에 의해 제조된 수증기 투과 및 전달률 시스템, PERMATRAN-W에 의해 수행된다. 측정된 수증기 전달률(WVTR)은 PET 기판 상에 증착되는 10,000 Å 필름 상에서 3.3 g/㎡.day이다. 측량 WVTR(collecting WVTR)을 제외하고, 극심한 수분 투과성 테스트는 30 시간 동안 끓는 물을 갖춘 파버웨어 압력 쿠커 상에 증착되는 기판을 침지시키기 전 후 SiON-5 필름의 굴절률(RI) 및 두께를 비교함으로써 수행된다. 실리콘 기판 상의 필름 두께 및 RI를 측정하는 것이 용이하기 때문에, 측정은 실리콘 기판 상에 증착되는 SiON-5 필름 상에서 측량된다. 표 6은 압력 쿠커 응력 전 후 SiON-5 필름의 두께 및 RI를 보여주고 있다.
Figure 112006085604889-PCT00006
이러한 결과는 극도의 수분 응력 후에 굴절률(RI) 및 두께의 극 최소 변화를 보여주고 있다. 전술된 결과는 플라즈마 예비 처리로 증착되는 SiN 또는 SiON과 같은 저온 부동화 필름이 양호한 접착 미 기밀 특성을 나타내고 있음을 보여주고 있다.
도 6은 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사의 자회사인 에이케이티로부터 이용가능한 플라즈마 강화 화학 기상 증착 시스템(600) 일 실시예의 개략적 횡단면도이다. 시스템(600)은 일반적으로 가스 공급원(604)에 연결되는 처리 챔버(602)를 포함한다. 처리 챔버(602)는 부분적으로 공정 영역(612)을 형성하는 벽(606) 및 바닥(608)을 갖는다. 공정 영역(612)은 통상적으로, 처리 챔버(602)의 내외측으로 기판(640)의 이동을 용이하게 하는, 벽(606) 내의 포트(도시되지 않음)를 통해 통상적으로 접근한다. 벽(606) 및 바닥(608)은 처리로 양립할 수 있는 알루미늄 또는 다른 재료의 하나의 블록으로 통상적으로 제조된다. 벽(606)은 (도시되지 않은, 다양한 펌핑 부품을 포함하는)배기 포트에 공정 영역(612)을 연결하는 펌핑 플레넘(614)을 포함하는 리드 조립체(610)를 지지한다.
온도 제어 기판 지지 조립체(638)는 처리 챔버(602) 내 중심으로 배치된다. 지지 조립체(638)는 처리 중에 유리 기판(640)을 지지한다. 일 실시예에서, 기판 지지 조립체(638)는 하나 이상의 삽입형 히터(632)를 캡슐화하는 알루미늄 바디(624)를 포함한다. 지지 조립체(638) 내에 배치되는 내성 부재와 같은 히터(632)는 선택적 전력 공급원(674)에 연결되며 상부에 위치된 유리 기판(640)과 지지 조립체(638)를 미리 결정된 온도로 제어가능하게 가열한다. 통상적으로, CVD 공정에서, 히터(632)는 증착되는 재료의 증착 처리 매개 변수에 따라서, 약 150 내지 약 460 ℃ 이상의 균일한 온도로 유리 기판(640)을 유지시킨다.
일반적으로, 지지 조립체(638)는 하부 측면(626) 및 상부 측면(634)을 갖는다. 상부 측면(634)은 유리 기판(640)을 지지한다. 하부 측면(626)은 하부 측면에 연결되는 스템(642)을 갖는다. 스템(642)은 지지 조립체(638)를 리프트 시스템(도시되지 않음)에 연결시키며, 상기 리프트 시스템은 지지 조립체(638)를 (도시된 바와 같은)상승 처리 위치와 하강 위치 사이에서 이동시키며, 처리 챔버로부터 그리고 처리 챔버로 기판 전달을 용이한다. 스템(642)은 부가적으로, 지지 조립체(638)와 시스템(600)의 다른 부품 사이의 전기 및 열전쌍 납용 도관을 제공한다.
벨로스(646)는 지지 조립체(638)(또는 스템(642))와 처리 챔버(602)의 바닥(608) 사이에 연결된다. 벨로스(646)는 챔버 영역(612)과 처리 챔버(602) 외부 대기 사이에 진공 밀봉을 제공하지만 지지 조립체(638)의 수직 이동을 용이하게 한다.
지지 조립체(638)는 일반적으로 접지되어 전력 공급원(622)에 의해 리드 조립체(610)와 기판 지지 조립체(638)(또는 챔버의 리드 조립체 내에 또는 근처에 위치되는 다른 전극) 사이의 가스 분배 판 조립체(618)로 공급되는 RF 전력이 지지 조립체(638)와 가스 분배 판(618) 사이의 공정 영역(612) 내에 존재하는 가스를 여기시킬 수 있다. 전력 공급원(622)으로부터의 RF 전력은 일반적으로, 화학 기상 증착 공정을 구동하기 위해서 기판의 크기와 적합하게 선택된다.
지지 조립체(638)는 부가적으로, 둘러싸는 쉐도우 프레임(648)을 지지한다. 일반적으로, 쉐도우 프레임(648)은 지지 조립체(638) 및 유리 기판(640)의 에지에서의 증착을 방지하여 기판이 지지 조립체(638)에 고착되지 않는다. 지지 조립체(638)는 복수의 리프트 핀(650)을 수용하며 관통하여 배치되는 복수의 홀(628)을 갖는다. 리프트 핀(650)은 통상적으로 세라믹 또는 양극처리된 알루미늄으로 구성된다. 리프트 핀(650)은 지지 표면(630)으로부터 보호하기 위해서 선택적 리프트 플레이트(654)에 의해 지지 조립체(638)에 대해 가동될 수 있어서, 지지 조립체(638)에 대해 멀리 이격되어 기판을 위치시킨다.
리드 조립체(610)는 공정 영역(612)에 상부 경계부를 제공한다. 리드 조립체(610)는 통상적으로, 처리 챔버(602)에 알맞도록 제거되거나 개방될 수 있다. 일 실시예에서, 리드 조립체(610)는 알루미늄(Al)으로 제조된다.
리드 조립체(610)는 외부 펌핑 시스템(도시되지 않음)에 연결되는 내부에 형성된 펌핑 플레넘(614)을 포함한다. 펌핑 플레넘(614)은 공정 영역(612) 및 처리 챔버(602)로부터 균일하게 처리 부산물 및 가스를 다른 데로 돌리기 위해서 이용된다.
리드 조립체(610)는 통상적으로, 가스 공급원(604)에 의해 관통하여 제공되는 공정 가스가 처리 챔버(602) 내측으로 도입되는 입구 포트(680)를 포함한다. 입구 포트(680)는 세정 공급원(682)에도 연결된다. 세정 공급원(682)은 통상적으로, 해리되는 불소와 같은 세정제를 제공하며, 즉, 가스 분배 판 조립체(618)를 포함하는, 처리 챔버 하드웨어로부터 증착 부산물 및 필름을 제거하기 위해서 처리 챔버(602) 내측으로 도입된다.
가스 분배 판 조립체(618)는 리드 조립체(610)의 내부 측면(620)에 연결된다. 가스 분배 판 조립체(618)는 통상적으로, 예를 들어, 웨이퍼에 있어서 대형 기판용 다각형 및 원형인 유리 기판(640)의 프로파일을 실질적으로 수반하도록 구성된다. 가스 분배 판 조립체(618)는 관통하여 처리되는 다공 범위(616)를 포함하며, 가스 공급원(604)으로부터 공급되는 다른 가스는 공정 영역(612)에 전달된다. 가스 분배 판 조립체(618)의 다공 범위(616)는 다스 분배 판 조립체(618)를 통해 처리 챔버(602) 내측으로 통과하는 균일한 분포의 가스를 제공하도록 구성된다. 본 발명으로부터 유리할 수 있는 가스 분배 판은, 켈러 등에 의해 2001년 8월 8일 출원되며 공동 허여된 미국 특허 출원 제 09/922,219호; 2002년 5월 6일 출원된 제 10/140,324호; 및 블로니건 등에 의해 2003년 7월 7일 출원된 제 10/337,483호; 화이트 등에 의해 2002년 11월 12일 허여된 미국 특허 출원 제 6,477,980 호; 및 최 등에 의해 2003년 4월 16일 출원된 미국 특허 출원 제 10/417,592 호에 기재되어 있으며 이는 본원에 전체 참조된다.
가스 분배 판 조립체(618)는 통상적으로, 행거 판(660)으로부터 걸려있는 확산기판(658)을 포함한다. 확산기판(658) 및 행거 판(660)은 단일 하나의 부재를 대안적으로 포함할 수 있다. 복수의 가스 통로(662)는 확산기판(658)을 통해 형성되어 가스 분배 판 조립체(618)를 통해 공정 영역(612) 내측으로 통과하는 가스의 미리결정된 분포를 허용한다. 행거 판(660)은 확산기판(658) 및 리드 조립체(610)의 내부 표면(620)을 이격된 관계로 유지시키며, 따라서 이들 사이에 플레넘(664)을 형성시킨다. 플레넘(664)은 확산기판(658)의 폭에 걸쳐서 균일하게 분포시키도록 리드 조립체(610)를 통해 가스를 유동시켜서 가스는 중앙 다공 범위(616) 위에서 균일하게 제공되며 가스 통로(662)를 통해 균일한 분포로 유동된다.
확산기판(658)은 통상적으로, 스테인레스 스틸, 알루미늄(Al), 양극처리된 알루미늄, 니켈(Ni) 또는 다른 RF 전도성 재료로 제조된다. 확산기판(658)은 기판 처리에 불리한 영향을 미치지 않기 위해서 개구(666)에 걸쳐서 충분한 평탄화를 유지하는 두께로 구성된다. 일 실시예에서, 확산기판(658)은 약 1.0 인치 내지 약 2.0 인치의 두께를 갖는다. 확산기판(658)은 평면 패널 디스플레이 제조용으로 직사각형과 같은 다각형 또는 반도체 웨이퍼 제조용으로 원형일 수 있다. 평면 패널 디스플레이 분야에 있어서 확산기판(658)의 예는 1.2 인치 두께를 갖는 약 300 mm ×약 400 mm의 직사각형이다.
본 발명은 특정 실시예 및 예에 따라서 기재되지만, 본 발명은 이제 제한되는 것은 아니다. 본 발명의 CVD 공정은 CVD 챔버를 이용하여 실행되며, 가스 유량, 압력 및 온도를 조절하여 실제 증착률에서 고 품질 필름을 달성한다. 본 발명은 첨부된 청구범위에 의해서만 제한되는 것으로 의미 된다.

Claims (53)

  1. 기판 상에 무기성 필름을 증착하는 방법으로서,
    상기 기판을 증착 공정 챔버 내에 위치시키는 단계;
    상기 기판 상에서 플라즈마 처리 공정을 수행하는 단계; 및
    상기 기판 상에 80℃ 미만의 온도로 무기성 필름을 증착시키는 단계를 포함하는,
    기판 상에 무기성 필름을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 기판은 플라스틱인,
    기판 상에 무기성 필름을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 기판은 폴리에틸렌테레프탈레이트(PET) 또는 폴리에틸렌나프탈레이트(PEN)인,
    기판 상에 무기성 필름을 증착하는 방법.
  4. 제 2 항에 있어서,
    상기 무기성 필름은 부동화 필름인,
    기판 상에 무기성 필름을 증착하는 방법.
  5. 제 4 항에 있어서,
    상기 부동화 필름은 실리콘 질화물(SiN) 필름, 실리콘 산질화물(SiON) 필름, 실리콘 산화물(SiO) 필름, 또는 이들의 조합물인,
    기판 상에 무기성 필름을 증착하는 방법.
  6. 제 5 항에 있어서,
    상기 부동화 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, 실리콘 함유 가스를 약 100 sccm 내지 약 500 sccm의 유량으로, 제 1 질소 함유 가스를 약 100 sccm 내지 약 500 sccm의 유량으로, 제 2 질소 함유 가스를 약 2000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는 SiN 필름인,
    기판 상에 무기성 필름을 증착하는 방법.
  7. 제 6 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 상기 제 1 질소 함유 가스는 NH3이며, 상기 제 2 질소 함유 가스는 N2인.
    기판 상에 무기성 필름을 증착하는 방법.
  8. 제 5 항에 있어서,
    상기 부동화 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, 실리콘 함유 가스를 약 50 sccm 내지 약 500 sccm의 유량으로, 산소 함유 가스를 약 200 sccm 내지 약 2000 sccm의 유량으로, 질소 함유 가스를 약 3000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는 SiON 필름인,
    기판 상에 무기성 필름을 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 상기 산소 함유 가스는 N2O이며, 그리고 상기 질소 함유 가스는 N2인,
    기판 상에 무기성 필름을 증착하는 방법.
  10. 제 5 항에 있어서,
    상기 부동화 필름은, 약 100 와트 내지 약 4000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기 판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서 실리콘 함유 가스를 약 100 sccm 내지 약 600 sccm의 유량으로, 산소 함유 가스를 약 5000 sccm 내지 약 15000 sccm의 유량으로 유동시킴으로써 증착되는 SiO 필름인,
    기판 상에 무기성 필름을 증착하는 방법.
  11. 제 10 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 상기 산소 함유 가스는 N2O인,
    기판 상에 무기성 필름을 증착하는 방법.
  12. 제 1 항에 있어서,
    상기 플라즈마 처리 공정은 불활성 가스, 수소 함유 가스, 질소 함유 가스, 또는 이들 가스의 혼합물로 수행되는,
    기판 상에 무기성 필름을 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 불활성 가스는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 또는 크립톤(Kr)인,
    기판 상에 무기성 필름을 증착하는 방법.
  14. 제 12 항에 있어서,
    상기 수소 함유 가스는 H2 또는 NH3인,
    기판 상에 무기성 필름을 증착하는 방법.
  15. 제 12 항에 있어서,
    상기 질소 함유 가스는 N2 또는 NH3인,
    기판 상에 무기성 필름을 증착하는 방법.
  16. 제 12 항에 있어서,
    상기 가스 유량은 약 500 sccm 내지 약 4000 sccm이며, 상기 압력은 약 0.1 토르 내지 5 토르이며, 상기 기판과 상기 가스 확산기판 사이의 간격은 약 0.4 인치 내지 약 1.4 인치이며, 그리고 상기 전력은 약 400 와트 내지 약 3000 와트인,
    기판 상에 무기성 필름을 증착하는 방법.
  17. 제 12 항에 있어서,
    상기 플라즈마 처리 시간은 2초 내지 약 10 분인,
    기판 상에 무기성 필름을 증착하는 방법.
  18. 제 12 항에 있어서,
    상기 플라즈마 처리 공정의 상기 플라즈마는 상기 증착 공정 챔버 내에서 발생하거나 멀리서 발생하는,
    기판 상에 무기성 필름을 증착하는 방법.
  19. 제 12 항에 있어서,
    상기 플라즈마 처리 공정의 플라즈마는 RF 전력 또는 마이크로파 파워에 의해 발생하는,
    기판 상에 무기성 필름을 증착하는 방법.
  20. 제 2 항에 있어서,
    상기 기판은 120,000 ㎟ 이상의 표면적을 갖는 직사각형인,
    기판 상에 무기성 필름을 증착하는 방법.
  21. 제 1 항에 있어서,
    상기 플라즈마 처리는 상기 기판 상에 상기 무기성 필름의 접착 특성을 개선시키는,
    기판 상에 무기성 필름을 증착하는 방법.
  22. 기판 상에 무기성 필름을 증착하는 방법으로서,
    상기 기판을 증착 공정 챔버 내에 위치시키는 단계;
    상기 기판 상에서 플라즈마 처리 공정을 수행하는 단계; 및
    실리콘 함유 가스, NH3, 질소 함유 가스, 산소 함유 가스, 또는 이들의 조합물로 구성되는 그룹으로부터 선택되는 가스를 포함하는 가스 혼합물로 상기 기판 상에 80 ℃ 미만의 온도로 무기성 필름을 증착하는 단계를 포함하는,
    기판 상에 무기성 필름을 증착하는 방법.
  23. 제 22 항에 있어서,
    상기 무기성 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서 SiH4를 약 100 sccm 내지 약 500 sccm의 유량으로, NH3 가스를 약 100 sccm 내지 약 500 sccm의 유량으로, N2를 약 2000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는 SiN 필름인,
    기판 상에 무기성 필름을 증착하는 방법.
  24. 제 22 항에 있어서,
    상기 SiON 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, SiH4를 약 50 sccm 내지 약 500 sccm의 유량으로, N2O 가스를 약 200 sccm 내지 약 2000 sccm의 유량으로, N2 가스를 약 3000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는,
    기판 상에 무기성 필름을 증착하는 방법.
  25. 제 22 항에 있어서,
    상기 SiO 필름은, 약 100 와트 내지 약 4000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, SiH4 가스를 약 100 sccm 내지 약 600 sccm의 유량으로, N2O 가스를 약 5000 sccm 내지 약 15000 sccm의 유량으로 유동시킴으로써 증착되는,
    기판 상에 무기성 필름을 증착하는 방법.
  26. 제 22 항에 있어서,
    상기 무기성 필름은 기판에 대해 양호한 접착 특성을 갖는,
    기판 상에 무기성 필름을 증착하는 방법.
  27. 제 22 항에 있어서,
    상기 무기성 필름은 기밀성인,
    기판 상에 무기성 필름을 증착하는 방법.
  28. 제 22 항에 있어서,
    상기 기판은 플라스틱이며, 120,000 ㎟ 이상의 표면적을 갖는 직사각형인,
    기판 상에 무기성 필름을 증착하는 방법.
  29. 제 22 항에 있어서,
    상기 플라즈마 처리는 상기 기판 상에 무기성 필름의 접착 특성을 개선시키는,
    기판 상에 무기성 필름을 증착하는 방법.
  30. 기판 상에 부동화 필름을 증착하는 방법으로서,
    상기 기판을 증착 공정 챔버 내에 위치시키는 단계;
    상기 기판 상에서 플라즈마 처리 공정을 수행하는 단계; 및
    상기 기판 상에 80 ℃ 미만의 온도에서 부동화 필름을 증착시키는 단계를 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  31. 제 30 항에 있어서,
    상기 부동화 필름은 다중 층을 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  32. 제 31 항에 있어서,
    상기 부동화 필름은 실리콘 질화물(SiN) 필름, 실리콘 산질화물(SiON) 필름, 실리콘 산화물(SiO) 필름, 또는 이들의 조합물을 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  33. 제 30 항에 있어서,
    상기 기판은 플라스틱인,
    기판 상에 부동화 필름을 증착하는 방법.
  34. 제 32 항에 있어서,
    상기 부동화 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, 실리콘 함유 가스를 약 100 sccm 내지 약 500 sccm의 유량으로, 제 1 질소 함유 가스를 약 100 sccm 내지 약 500 sccm의 유량으로, 제 2 질소 함유 가스를 약 2000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는 SiN 필름을 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  35. 제 34 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 상기 제 1 질소 함유 가스는 NH3이며, 그리고 상기 제 2 질소 함유 가스는 N2인,
    기판 상에 부동화 필름을 증착하는 방법.
  36. 제 32 항에 있어서,
    상기 부동화 필름은, 약 400 와트 내지 약 2000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, 실리콘 함유 가스를 약 50 sccm 내지 약 500 sccm의 유량으로, 산소 함유 가스를 약 200 sccm 내지 약 2000 sccm의 유량으로, 질소 함유 가스를 약 3000 sccm 내지 약 6000 sccm의 유량으로 유동시킴으로써 증착되는 SiON 필름을 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  37. 제 36 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 상기 산소 함유 가스는 N2O이며, 그리고 상기 질소 함유 가스는 N2인,
    기판 상에 부동화 필름을 증착하는 방법.
  38. 제 32 항에 있어서,
    상기 부동화 필름은, 약 100 와트 내지 약 4000 와트의 RF 전력, 약 0.5 토르 내지 약 5.0 토르의 압력, 약 0.4 인치 내지 약 1.1 인치의 가스 확산기판과 기판 사이의 간격, 및 약 40 ℃ 내지 약 80 ℃의 증착 온도하에서, 실리콘 함유 가스를 약 100 sccm 내지 약 600 sccm의 유량으로, 산소 함유 가스를 약 5000 sccm 내지 약 15000 sccm의 유량으로 유동시킴으로써 증착되는 SiO 필름을 포함하는,
    기판 상에 부동화 필름을 증착하는 방법.
  39. 제 38 항에 있어서,
    상기 실리콘 함유 가스는 SiH4이며, 그리고 상기 산소 함유 가스는 N2O인,
    기판 상에 부동화 필름을 증착하는 방법.
  40. 제 30 항에 있어서,
    상기 플라즈마 처리 공정은 불활성 가스, 수소 함유 가스, 질소 함유 가스, 또는 이들의 조합물로 수행되는,
    기판 상에 부동화 필름을 증착하는 방법.
  41. 제 40 항에 있어서,
    상기 불활성 가스는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 또는 크립톤(Kr)인,
    기판 상에 부동화 필름을 증착하는 방법.
  42. 제 40 항에 있어서,
    상기 가스 유량은 약 500 sccm 내지 약 4000 sccm이며, 상기 압력은 약 0.1 토르 내지 약 5 토르이며, 상기 기판과 상기 가스 확산기판에 대한 간격은 약 0.4 내지 약 1.4 인치이며, 그리고 상기 전력은 약 400 와트 내지 약 3000 와트인,
    기판 상에 부동화 필름을 증착하는 방법.
  43. 제 40 항에 있어서,
    상기 플라즈마 처리 시간은 2 초 내지 약 10 분인,
    기판 상에 부동화 필름을 증착하는 방법.
  44. 제 40 항에 있어서,
    상기 플라즈마 처리 공정의 상기 플라즈마는 상기 기판 공정 챔버 내에서 발생하거나 멀리서 발생하는,
    기판 상에 부동화 필름을 증착하는 방법.
  45. 제 30 항에 있어서,
    상기 기판은 120,000 ㎟ 이상의 표면적을 갖는 직사각형인,
    기판 상에 부동화 필름을 증착하는 방법.
  46. 제 30 항에 있어서,
    상기 플라즈마 처리는 상기 기판 상에 상기 부동화 층의 접착 특성을 개선시키는,
    기판 상에 부동화 필름을 증착하는 방법.
  47. 증착 공정 챔버;
    플라스틱 기판을 지지하도록 상기 챔버 내에 배치되는 기판 지지부;
    상기 증착 공정 챔버 내에 플라즈마 가스를 제공하도록 상기 챔버에 연결되는 RF 전력 공급원;
    상기 증착 공정 챔버에 무기성 가스를 제공하는 가스 공급원;
    80 ℃ 이하로 상기 기판의 온도를 제어하여 상기 기판 상에 무기성 필름을 증착시키는 제어기를 포함하는,
    장치.
  48. 제 47 항에 있어서,
    상기 RF 공급원은 상기 증착 공정 챔버 내에 위치되는 샤워헤드에 연결되는,
    장치.
  49. 제 47 항에 있어서,
    상기 RF 공급원은 원격 플라즈마 공급원에 연결되는,
    장치.
  50. 제 47 항에 있어서,
    상기 기판 지지부는 내가열성 부재를 포함하는,
    장치.
  51. 제 47 항에 있어서,
    상기 기판 지지부는 방사 가열성 부재를 포함하는,
    장치.
  52. 제 47 항에 있어서,
    상기 증착 공정 챔버는 플라즈마 강화 증착 공정 챔버인,
    장치.
  53. 제 47 항에 있어서,
    상기 기판은 120,000 ㎟ 이상의 표면적을 갖는 직사각형인,
    장치.
KR1020067024492A 2004-04-23 2005-04-14 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치 KR20070012508A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/831,407 2004-04-23
US10/831,407 US20050238816A1 (en) 2004-04-23 2004-04-23 Method and apparatus of depositing low temperature inorganic films on plastic substrates

Publications (1)

Publication Number Publication Date
KR20070012508A true KR20070012508A (ko) 2007-01-25

Family

ID=34965779

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024492A KR20070012508A (ko) 2004-04-23 2005-04-14 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치

Country Status (6)

Country Link
US (1) US20050238816A1 (ko)
JP (1) JP2007533860A (ko)
KR (1) KR20070012508A (ko)
CN (1) CN1961095B (ko)
TW (1) TWI303667B (ko)
WO (1) WO2005108642A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7291515B2 (en) * 2004-07-16 2007-11-06 Fujifilm Corporation Functional device and method for producing the same
KR20080068240A (ko) * 2007-01-18 2008-07-23 삼성전자주식회사 박막 트랜지스터 기판의 제조 방법
US8809203B2 (en) * 2007-06-05 2014-08-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device using a microwave plasma CVD apparatus
CN101325842B (zh) * 2007-06-15 2012-03-14 富葵精密组件(深圳)有限公司 柔性电路板治具
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5185598B2 (ja) * 2007-11-06 2013-04-17 株式会社ジャパンディスプレイイースト 有機el表示装置およびその製造方法
JP6258569B2 (ja) * 2008-08-04 2018-01-10 ザ、トラスティーズ オブ プリンストン ユニバーシティ 薄膜トランジスタ用のハイブリッド誘電体材料
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR101512881B1 (ko) 2012-05-31 2015-04-16 주식회사 엘지화학 가스 차단 필름 및 이의 제조방법
CN103811742A (zh) * 2012-11-07 2014-05-21 江苏海四达电源股份有限公司 连二亚硫酸钠辅助共沉淀法制备NixCoyMnz(OH)2(x+y+z=1)
CN103839875B (zh) * 2012-11-21 2017-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 一种衬底处理系统
CN103354276A (zh) * 2013-06-28 2013-10-16 京东方科技集团股份有限公司 封装基板、oled显示面板及其制造方法和显示装置
CN105140422A (zh) * 2015-07-29 2015-12-09 沈阳拓荆科技有限公司 一种低温沉积氮化硅薄膜的方法
US20170250370A1 (en) * 2016-02-26 2017-08-31 Applied Materials, Inc. Methods for integration of organic and inorganic materials for oled encapsulating structures
CN106784384A (zh) * 2017-01-06 2017-05-31 昆山工研院新型平板显示技术中心有限公司 柔性显示器及其制备方法
DE102017212272A1 (de) * 2017-07-18 2019-01-24 Meyer Burger (Germany) Gmbh Verfahren zur Erzeugung einer Haft- und Barriereschicht auf einem Substrat und zugehöriges Substrat

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
FR2631346B1 (fr) * 1988-05-11 1994-05-20 Air Liquide Revetement protecteur multicouche pour substrat, procede de protection de substrat par depot par plasma d'un tel revetement, revetements obtenus et leurs applications
FR2692598B1 (fr) * 1992-06-17 1995-02-10 Air Liquide Procédé de dépôt d'un film contenant du silicium à la surface d'un substrat métallique et procédé de traitement anti-corrosion.
FR2711556B1 (fr) * 1993-10-29 1995-12-15 Atohaas Holding Cv Procédé de dépôt d'une couche mince sur la surface d'un substrat en matière plastique.
DE69433836D1 (de) * 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5738920A (en) * 1996-01-30 1998-04-14 Becton, Dickinson And Company Blood collection tube assembly
DE19752889C1 (de) * 1997-11-28 1999-06-24 Fraunhofer Ges Forschung Verfahren zur Beschichtung von Oberflächen
US6156394A (en) * 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
FR2790762B1 (fr) * 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
TW525305B (en) * 2000-02-22 2003-03-21 Semiconductor Energy Lab Self-light-emitting device and method of manufacturing the same
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
JP2002231628A (ja) * 2001-02-01 2002-08-16 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
WO2002094458A2 (de) * 2001-03-29 2002-11-28 Schott Glas Verfahren zur herstellung eines beschichteten kunststoffkörpers
AU2002305393A1 (en) * 2001-05-04 2002-11-18 General Atomics O2 and h2o barrier material
US6743700B2 (en) * 2001-06-01 2004-06-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor film, semiconductor device and method of their production
US6692326B2 (en) * 2001-06-16 2004-02-17 Cld, Inc. Method of making organic electroluminescent display
US6856086B2 (en) * 2001-06-25 2005-02-15 Avery Dennison Corporation Hybrid display device
DE60237746D1 (de) * 2001-09-25 2010-11-04 Jsr Corp Filmherstellungsmethode
US6793759B2 (en) * 2001-10-09 2004-09-21 Dow Corning Corporation Method for creating adhesion during fabrication of electronic devices
JP2003282250A (ja) * 2002-03-25 2003-10-03 Serubakku:Kk 誘導結合型cvdを使用した有機el用素子成膜装置および製造方法
US7086918B2 (en) * 2002-12-11 2006-08-08 Applied Materials, Inc. Low temperature process for passivation applications

Also Published As

Publication number Publication date
WO2005108642A1 (en) 2005-11-17
TWI303667B (en) 2008-12-01
US20050238816A1 (en) 2005-10-27
CN1961095A (zh) 2007-05-09
CN1961095B (zh) 2010-10-27
JP2007533860A (ja) 2007-11-22
TW200535262A (en) 2005-11-01

Similar Documents

Publication Publication Date Title
KR20070012508A (ko) 플라스틱 기판 상에 저온 무기성 필름을 증착하는 방법 및장치
Wu et al. Efficient multi-barrier thin film encapsulation of OLED using alternating Al 2 O 3 and polymer layers
US7220687B2 (en) Method to improve water-barrier performance by changing film surface morphology
JP5544086B2 (ja) 多層型封止フィルムを形成するための方法、及び装置
US9449809B2 (en) Interface adhesion improvement method
US20040113542A1 (en) Low temperature process for passivation applications
US7504332B2 (en) Water-barrier performance of an encapsulating film
EP1547448B1 (en) Fabricating method of organic compound-containing layer
KR101539635B1 (ko) 캡슐화 필름을 증착하기 위한 방법
WO2013168553A1 (ja) 半導体装置用基板、半導体装置、調光型照明装置、自己発光表示装置、太陽電池および反射型液晶表示装置
US20160056414A1 (en) Thin film permeation barrier system for substrates and devices and method of making the same
JP2001284042A (ja) 有機el素子
US20160254487A1 (en) Permeation barrier system for substrates and devices and method of making the same
KR20080086349A (ko) 성막장치, 제조장치, 성막방법, 및 발광장치의 제조방법
JP2005166400A (ja) 表面保護膜
JP2004079528A (ja) 製造装置
Minakata et al. 16.4: Fully R2R‐Processed Flexible OLEDs for Lighting
JP2004111386A (ja) 製造装置、発光装置、および有機化合物を含む層の作製方法
US20150064483A1 (en) Metal deposition using organic vapor phase deposition (vpd) system
Hsu et al. Optimization of residual stress of SiO2/organic silicon stacked layer prepared using inductively coupled plasma deposition
WO2010030866A1 (en) Plasma deposition with non-conductive layer
KR20100075379A (ko) 유기 전자 디바이스, 유기 전자 디바이스의 제조 방법 및 유기 전자 디바이스의 제조 장치

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application