KR101539635B1 - 캡슐화 필름을 증착하기 위한 방법 - Google Patents

캡슐화 필름을 증착하기 위한 방법 Download PDF

Info

Publication number
KR101539635B1
KR101539635B1 KR1020147024409A KR20147024409A KR101539635B1 KR 101539635 B1 KR101539635 B1 KR 101539635B1 KR 1020147024409 A KR1020147024409 A KR 1020147024409A KR 20147024409 A KR20147024409 A KR 20147024409A KR 101539635 B1 KR101539635 B1 KR 101539635B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
nitrogen
containing gas
film
Prior art date
Application number
KR1020147024409A
Other languages
English (en)
Other versions
KR20140129075A (ko
Inventor
즈르얀 제리 첸
태경 원
범수 박
영진 최
수영 최
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140129075A publication Critical patent/KR20140129075A/ko
Application granted granted Critical
Publication of KR101539635B1 publication Critical patent/KR101539635B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • H10K50/8445Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/80Constructional details
    • H10K59/87Passivation; Containers; Encapsulations
    • H10K59/873Encapsulations
    • H10K59/8731Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Abstract

기판 상에 캡슐화 필름과 같은 재료 층을 증착하기 위한 방법 및 장치가 설명된다. 일 실시예에서, 캡슐화 필름 형성 방법은, 프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―; 프로세싱 챔버 내에 위치된 기판 위쪽에 약 800 mils 내지 약 1800 mils 이격된 가스 분배 플레이트 어셈블리에 약 0.350 watts/cm2 내지 약 0.903 watts/cm2를 인가함으로써, 프로세싱 챔버 내에서 가스 혼합물을 활성화하는(energizing) 단계; 프로세싱 챔버 내의 활성화된 가스 혼합물을 약 0.5 Torr 내지 약 3.0 Torr의 압력으로 유지하는 단계; 및 활성화된 가스 혼합물의 존재하에서 기판 상에 무기 캡슐화 필름을 증착하는 단계를 포함한다. 다른 실시예들에서, 유기 유전체 층이 무기 캡슐화 층들 사이에 끼워넣어진다(sandwiched).

Description

캡슐화 필름을 증착하기 위한 방법{METHOD FOR DEPOSITING AN ENCAPSULATING FILM}
본 발명의 실시예들은 일반적으로, 화학 기상 증착 프로세싱을 이용하여 얇은 필름들을 증착하는 것에 관한 것이다. 보다 특정하게는, 본 발명은 대면적(large area) 기판들 상에 얇은 필름들을 증착하기 위한 프로세스에 관한 것이다.
유기 발광 다이오드(OLED) 디스플레이들은, 액정 디스플레이(LCD)들과 비교하여, OLED 디스플레이들의 더 빠른 반응 시간들, 더 큰 시야각들, 더 높은 콘트라스트(contrast), 더 가벼운 중량, 더 낮은 전력, 및 가요성(flexible) 기판들에 대한 순응성(amenability)으로 인해 디스플레이 응용들에서 최근 상당한 관심을 얻어왔다. 1987년 C. W. Tang 및 S. A. Van Slyke에 의해 효율적인 전계 발광(EL)이 보고된 이후, 광을 방출하기 위해 2개의 전극들 사이에 끼워넣어지는(sandwiched) 유기 재료들의 2개의 층들을 이용함으로써, OLED의 실용적인 응용이 가능하게 되었다. 이전의(old) 단일 유기 층과 대조적으로, 2개의 유기 층들은 단극 (정공) 전달(monopolar (hole) transport)이 가능한 하나의 층 및 전계 발광을 위한 다른 하나의 층을 포함하며, 이에 따라 OLED 디스플레이에 대해 요구되는 동작 전압을 낮춘다.
OLED에서 이용되는 유기 재료들에 부가하여, 소분자(small molecule), 가요성 유기 발광 다이오드(FOLED) 및 폴리머 발광 다이오드(PLED) 디스플레이들을 위한 많은 폴리머 재료들이 또한 개발되었다. 이러한 많은 유기 및 폴리머 재료들은 다양한(a range of) 기판들 상에서의 복잡한 다중층(multi-layer) 디바이스들의 제조에 대해 가요성이며, 이에 따라, 얇은 평판 디스플레이(FPD), 전기적으로 펌핑되는(pumped) 유기 레이저 및 유기 광학 증폭기와 같은 다양한 투명 다중-컬러 디스플레이 응용들에 대해 이러한 유기 및 폴리머 재료들이 이상적이 되게 한다.
수년에 걸쳐서, 디스플레이 디바이스들 내의 층들은 다수의 층들로 진화되었으며, 이러한 다수의 층들의 각각의 층은 상이한 기능들을 행한다. 도 1은 기판(101) 상에 구축된(built) OLED 디바이스 구조의 예를 나타낸다. 인듐 주석 산화물(ITO) 층과 같은 투명 애노드 층(102)이 기판(101) 상에 증착된 후, 유기 층들의 스택이 애노드 층(102) 상에 증착된다. 유기 층들은 정공-주입(hole-injection) 층(103), 정공-전달(hole-transport) 층(104), 발광 층(emissive layer)(105), 전자-전달(electron-transport) 층(106) 및 전자 주입(electron injection) 층(107)을 포함할 수 있다. OLED 셀을 구축하는 데에 유기 층들의 5개의 모든 층들이 반드시 요구되는 것은 아님을 주목해야 한다. 예를 들어, 몇몇 경우들에서는, 정공-전달 층(104) 및 발광 층(105) 만이 요구된다. 유기 층 증착 이후, 유기 층들의 스택의 상부에 금속 캐소드(metallic cathode)(108)가 증착된다. 적절한 전압(전형적으로 몇 볼트)(110)이 셀에 인가되면, 주입된 양 및 음 전하들이 발광 층 내에서 재결합하여, 광(120)을 생성한다(전계 발광). 유기 층들의 구조, 및 애노드와 캐소드의 선택은, 발광 층 내에서의 재결합 프로세스를 최대화하고, 그에 따라 OLED 디바이스들로부터의 광 출력을 최대화하도록 설계된다.
유기 또는 폴리머 재료들의 열화(degradation), 비발광(non-emissive) 암점(dark spot)들의 생성, 및 약 55℃ 또는 그 보다 높은 고온에서의 유기 층들의 결정화(crystallization)(예를 들어, 정공 전달 재료들의 결정화가 실온에서 일어날 수 있다)로 인해, 디스플레이 디바이스들의 수명이 제한될 수 있으며, 이러한 디스플레이 디바이스들의 수명의 제한은 EL 효율의 감소 및 구동 전압의 증가에 의해 특징화된다. 따라서, 이를 테면 약 100℃ 또는 그 보다 낮은 온도에서의, 이러한 재료들에 대한 저온 증착 프로세스가 요구된다. 또한, 재료 열화 및 암점 문제들에 대한 주요 원인은 수분 및 산소 유입(ingress)이다. 예를 들어, 습한 환경들에 대한 노출은, 발광 층으로서 종종 이용되는 8-하이드록실퀴놀린 알루미늄(8-hydroxyquinoline aluminum)(Alq3)의 결정 구조들의 형성을 유발하는 것으로 발견되었으며, 결과적으로 캐소드 박리(delamination)가 초래되고, 그에 따라, 시간이 지남에 따라(in time) 더 크게 성장하는 비발광 암점들을 생성한다. 또한, 공기 또는 산소에 대한 노출은 캐소드 산화를 야기할 수 있으며, 그리고 일단 유기 재료가 물 또는 산소와 반응하면, 그 유기 재료는 사용할 수 없게 된다(dead).
일반적으로, 대부분의 디스플레이 제조업자들은, 디바이스 내의 유기 재료들을 물(H20) 또는 산소(O2) 공격(attack)으로부터 보호하기 위해, 금속 캔(metal-can) 또는 유리 캔(glass-can) 재료들을 캡슐화 층으로서 이용한다. 도 2는 유리 또는 금속 캡슐화 재료들(205)을 갖는, 기판(201) 상의 OLED 디바이스(200)의 통상의 패키징을 도시한다. 디바이스(200)는, 유기 재료들(203)의 다수의 층들과 함께, 애노드 층(202) 및 캐소드 층(204)을 포함한다. 금속 또는 유리 재료들(205)은, UV-경화 에폭시(UV-cured epoxy)(206)의 비드(bead)를 이용하여, 기판(201)에 리드(lid)처럼 부착된다. 하지만, 에폭시(206)를 통해 수분이 쉽게 침투하여, 디바이스(200)를 손상시킬 수 있다.
플라즈마 강화 화학 기상 증착(PECVD)에 의해 준비되는, 이를 테면 무기 재료들, 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON) 및 실리콘 산화물(SiO)과 같은 다른 재료들이, 이러한 디바이스들에 대하여, 수분, 공기 및 부식성 이온들에 대한 효과적인 캡슐화/장벽 층(encapsulation/barrier layer)으로서 또한 이용될 수 있다. 하지만, 저온 증착 프로세스를 이용하여 수방벽(water-barrier) 무기 캡슐화 재료들을 형성하기가 매우 어려운데, 왜냐하면 결과적인 필름이 더 낮은 밀도를 가지며(less dense) 그리고 높은 결함 핀홀 구조들을 갖기 때문이다. 유기 층들 내에서의 잔여 수분의 존재는 또한, 캡슐화된 디바이스들 내에서 조차도 Alq3 결정화 프로세스를 촉진시킬 수 있다는 것을 주목하는 것이 중요하다. 또한, 캡슐화 동안 트랩되고 OLED 디바이스 내에 침투하여 캐소드 및 유기 재료들과 접촉하는 산소 및 습기는, 일반적으로, 암점 형성을 초래하며, 이러한 암점 형성은 흔한(frequent) OLED 파괴 결함이다. 따라서, 우수한 캡슐화/장벽 필름은 또한 낮은 WVTR(water vapor transmission rate)을 요구한다.
캡슐화/장벽 층으로서의 얇은 필름 무기 실리콘 질화물(SiN) 관련 재료들에 대한 부가적인 문제들이 발생된다. 우수한 산소 및 수방벽으로서 기능하도록 하기 위해 캡슐화 층이 두꺼운 경우, 이러한 캡슐화 층은 일반적으로 단단하고(hard), 깨지기 쉽고(fragile), 그리고 너무 두꺼워서 기판 표면에 잘 부착되지 않게 되며, 결과적으로, 특히 고온 및 습기 응력 조건(humidity stressed condition)들에서, 기판 표면으로부터 벗겨지거나(peeling off) 크래킹(cracking)을 초래한다. 부착 및 열 안정성을 개선하기 위해 캡슐화 층이 얇게 만들어지는 경우, 이러한 캡슐화 층은 수분 장벽으로서 충분히 두껍지가 않다. 따라서, 부가적인 층들 또는 다른 처리(manipulation)가 요구될 수 있다.
따라서, 아래의 디바이스들을 보호하기 위해, 개선된 수방벽 및 열 응력 성능을 가지면서, 대면적 기판들 상에 저온 캡슐화/장벽 필름들을 증착하는 방법들이 여전히 필요하다.
기판 상에 캡슐화 필름과 같은 재료 층을 증착하기 위한 방법 및 장치가 설명된다. 일 실시예에서, 캡슐화 필름 형성 방법은, 프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―; 프로세싱 챔버 내에 위치된 기판 위쪽에 약 800 mils 내지 약 1800 mils 이격된 가스 분배 플레이트 어셈블리에 약 0.553 watts/cm2 내지 약 0.903 watts/cm2를 인가함으로써, 프로세싱 챔버 내에서 가스 혼합물을 활성화하는(energizing) 단계; 프로세싱 챔버 내의 활성화된 가스 혼합물을 약 0.5 Torr 내지 약 3.0 Torr의 압력으로 유지하는 단계; 및 활성화된 가스 혼합물의 존재하에서 기판 상에 무기 캡슐화 필름을 증착하는 단계를 포함한다. 다른 실시예들에서, 유기 유전체 층이 무기 캡슐화 층들 사이에 끼워넣어진다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 OLED 디바이스의 개략적 단면도를 도시한다.
도 2는 캡슐화 재료(유리 또는 금속)이 상부(top)에 부착되어 있는, OLED 디바이스의 개략적인 단면도를 도시한다.
도 3은 본 발명의 일 실시예에 따른, 캡슐화 층이 상부에 증착되어 있는, OLED 디바이스의 개략적인 단면도를 도시한다.
도 4는 본 발명에 따른 프로세스 챔버의 일 실시예의 개략적인 단면도이다.
도 5는 본 발명의 하나의 방법에 따라 증착되는 캡슐화 층의 일 실시예의 개략적인 단면도를 도시한다.
도 6은 본 발명의 실시예들에 따른, 기판 프로세싱 시스템 내에서 기판 상에 다중층 캡슐화 필름을 형성하는 하나의 방법의 흐름도이다.
도 7은 본 발명의 실시예들에 따른, 프로세스 챔버 내에서 기판 상에 저(low)-유전 상수 재료를 증착하는 하나의 방법의 흐름도이다.
도 8은 본 발명의 실시예들에 따른, 기판 프로세싱 시스템 내에서 기판 상에 다중층 캡슐화 필름을 형성하는 다른 방법의 흐름도이다.
도 9는 본 발명의 방법에 의해 증착되는 하나의 예시적인 장벽 층 및 예시적인 저-유전 상수 재료 층의 광학 특성들을 도시한다.
도 10은 본 발명의 하나의 방법에 의해 증착되는, 실리콘 질화물 무기 장벽 필름들의 4개의 층들 및 비정질 탄소 저-유전 상수 필름들의 3개의 층들을 갖는 하나의 예시적인 다중층 캡슐화 필름을 도시한다.
도 11은 상이한 파장들에서 본 발명의 캡슐화 필름과 종래 기술의 필름들의 투과율(percent transmission)을 비교하는 그래프이다.
본 발명은 일반적으로, 개선된 증착 레이트, 광 투과(light transmission), 더 낮은 응력, 개선된 수방벽 및 열 안정성 성능을 갖는 캡슐화 필름을 증착하는 방법에 관한 것이다. 본 발명은, 상기 설명된 바람직한 특성들을 갖는 캡슐화 필름을 얻기 위해, 실리콘-함유 전구체들, 질소-함유 전구체들 및 수소 가스의 조합을 이용하는 것을 설명한다. 중요하게는, 더 낮은 응력과 함께, 증가된 증착 레이트 및 광 투과는, 특히 필름 크래킹(film cracking) 없이 디스플레이 가요성(flexibility)을 가능하게 하는 데에 있어서 낮은 필름 응력이 중요한(key) 경우, 차세대 가요성 디스플레이들에 대해 중요하다. 캡슐화 필름은 또한 물 및 산소가 대기로부터 필름 내로 침투하는 것을 막으며, WVTR(Water Vapor Transmission Rate) 값을 나타낸다. WVTR은 평판 디스플레이(FPD) 산업에서 수방벽 성능을 나타내기 위한 주요 파라미터이다. 또한, 본 발명은 디바이스의 수명을 크게 강화하고/연장하기 위해, 디스플레이 디바이스와 같은 기판의 표면 상에 캡슐화/장벽 층을 증착하기 위한 방법 및 장치를 제공한다.
또한, 본 발명은 대면적 기판 표면 상에, 이를 테면 약 200℃ 또는 그 미만의 온도와 같은 저온에서 저-유전 상수 재료 층을 증착하는 방법을 설명한다. 저-유전 상수 재료 층은, 특히, 비정질 탄소 재료, DLC(diamond-like-carbon) 재료, 탄소-도핑된 실리콘 함유 재료일 수 있다. 저-유전 상수 재료 및/또는 비정질 탄소 재료는, 캡슐화 층의 필름 균일성, 필름 접착 및 열 안정성을 개선하기 위해 캡슐화 층의 부분들로서 이용될 수 있다. 따라서, 특히, OLED 디바이스들과 같은 디스플레이 디바이스들의 수방벽 성능을 개선하기 위해 접착 강화 층들 또는 열 응력 완화 층들로서 기능하도록, 저-유전 상수 재료들 또는 비정질 탄소 재료들의 하나 또는 그 초과의 층들이 기판 표면 상에 증착될 수 있다.
본 발명은 또한, 광 투과를 개선하고 필름 응력을 낮추면서, 물 및 산소가 기판의 표면 상으로 확산되는 것을 막는 데에 이용될 수 있는 단일-층 또는 다중층 캡슐화 필름을 제공한다. 단일-층 캡슐화 필름은, 특히, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 실리콘 카바이드와 같은 실리콘-함유 무기 장벽 재료일 수 있다. 다중층 캡슐화 필름은 하나 또는 그 초과의 장벽 층들 및 하나 또는 그 초과의 저-유전 상수 재료 층들을 포함할 수 있다. 하나 또는 그 초과의 저-유전 상수 재료 층들은 하나 또는 그 초과의 장벽 층들 및/또는 캡슐화 층의 접착 및 열 안정성을 강화하도록 기능한다.
일 실시예에서, 하나 또는 그 초과의 저-유전 상수 재료 층들은 하나 또는 그 초과의 장벽 층들의 사이에 증착된다. 예를 들어, 적어도 하나의 저-유전 상수 재료 층과 적어도 하나의 장벽 층의 교번하는 층들이, 디스플레이 디바이스와 같은 기판의 표면 상에 증착된다.
다른 실시예에서, 우수한 수방벽 성능, 개선된 광 투과 및 낮은 필름 응력을 제공하기 위해, 제 1 저-유전 상수 재료 층 이전에, 제 1 장벽 층이 기판의 표면 상에 증착된다. 또 다른 실시예에서, 실리콘-함유 무기 장벽 재료의 최종 층이 증착되도록, 다중층 캡슐화 필름이 기판 표면의 상부에 증착되어, 다중층 캡슐화 필름의 우수한 수방벽 성능, 개선된 광 투과 및 낮은 필름 응력을 제공한다.
본 발명의 기판들은 반도체 웨이퍼 제조 및 평판 디스플레이 제조를 위해 원형 또는 다각형일 수 있다. 평판 디스플레이를 위한 직사각형 기판의 표면적은 전형적으로 크며, 예를 들어 약 500 mm2 또는 그 보다 큰, 이를 테면 적어도 약 300 mm × 약 400 mm, 예를 들어 약 120,000 mm2 또는 그 보다 큰 직사각형이다. 또한, 본 발명은, 이를 테면 OLED, FOLED, PLED, 유기 TFT, 액티브 매트릭스(active matrix), 패시브 매트릭스(passive matrix), 전면 발광(top emission) 디바이스, 배면 발광(bottom emission) 디바이스, 태양 전지 등과 같은 임의의 디바이스들에 적용되며, 그리고 특히, 실리콘 웨이퍼들, 유리 기판들, 금속 기판들, 플라스틱 필름들(예를 들어, 폴리에틸렌 테레프탈레이트(PET), 폴리에틸렌 나프탈레이트(PEN) 등), 플라스틱 에폭시 필름들 중 임의의 것 위에 있을 수 있다.
도 3은 본 발명의 방법들을 이용하여 디스플레이 디바이스(300)의 기판(301) 상에 증착되는 캡슐화 층(305)의 예시적인 실시예를 도시한다. 예를 들어, 이를 테면 폴리에틸렌 테레프탈레이트(PET) 또는 폴리에틸렌 나프탈레이트(PEN)과 같은, 유리 또는 플라스틱으로 만들어질 수 있는 기판(301) 상에, 투명 애노드 층(302)이 증착된다. 투명 애노드 층(302)의 예는 약 200Å 내지 약 2000Å 범위의 두께를 갖는 인듐-주석-산화물(ITO)이다.
유기 또는 폴리머 재료들(303)의 다중 층들이 애노드 층(302) 상부에 증착될 수 있다. 예를 들어, 재료 층(303)은 애노드 층의 상부에 증착되는 정공-전달 층을 포함할 수 있다. 정공-전달 층의 예들은, 약 200Å 내지 약 1000Å의 두께에 대해, 디아민(diamine), 이를 테면 나프틸-치환된 벤지딘(naphthyl-substituted benzidine, NPB) 유도체, 또는 N,N'-디페닐-N, N'-비스(3-메틸페닐)-(1,1'-바이페닐)-4,4'-디아민(TPD)을 포함한다. 정공-전달 층 증착 이후, 발광 층이 증착될 수 있다. 발광 층에 대한 재료들은 전형적으로, 형광 금속 킬레이트 착물(fluorescent metal chelated complex)들의 부류에 속한다. 일 예는 8-하이드록실퀴놀린 알루미늄(Alq3)이다. 발광 층의 두께는 전형적으로, 약 200Å 내지 약 1500Å 범위이다. 발광 층이 증착된 후, 이러한 유기 층들은 패터닝된다. OLED 디스플레이들은 전형적으로, 잉크-젯 프링팅 또는 증발(evaporation) 방법에 의해, 기판의 미리 패터닝된(pre-patterned) 표면 상에 증착된다. 유기 재료들(303)의 패터닝 이후에, 캐소드 층과 같은 상부 전극 층(304)이 이어서 증착 및 패터닝된다. 상부 전극 층(304)은 금속, 금속들의 혼합물, 또는 금속들의 합금일 수 있다. 상부 전극 재료의 예는, 약 1000Å 내지 약 3000Å의 두께 범위의, 마그네슘(Mg), 은(Ag) 및 알루미늄(Al)의 합금이다.
OLED 디바이스와 같은 디스플레이 디바이스(300)의 구성이 완료된 후, 기판 표면의 상부에 캡슐화 층(305)이 증착된다. 본 발명의 캡슐화 층(305)의 예시적인 재료들은, 약 500Å 내지 약 500,000Å, 이를 테면 약 2,000Å 내지 약 50,000Å의 두께 범위로 증착되는, 무기 질화물 필름, 무기 산화물 필름 및 폴리머-타입 유기 필름의 얇은 층을 포함한다. 예를 들어, 특히, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO) 및 실리콘 카바이드(SiC)가 캡슐화 재료로서 이용될 수 있다.
본 발명의 일 실시예는, 기판(301) 상에 증착되는 캡슐화 층(305)이, 이를 테면 무기 질화물, 무기 산화물 필름 및 폴리머-타입 유기 재료와 같은 장벽/캡슐화 재료들의 하나 또는 그 초과의 층들을 포함하는 것을 제공한다. 또한, 본 발명은, 접착을 강화하고 캡슐화 층(305)을 연화시키기(soften) 위해, 캡슐화 층(305) 내에서 하나 또는 그 초과의 부가적인 재료 층들, 이를 테면 다양한 탄소-함유 재료들 및 폴리머-타입 유기 재료들, 및 저-유전 상수 재료들, 예를 들어 비정질 탄소, DLC(diamond-like-carbon), 탄소-도핑된 실리콘 함유 재료 등을 이용하는 것을 더 제공한다.
기판 프로세싱 시스템
본 발명은, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.의 디비전(division)인 AKT
Figure 112014082903203-pct00001
로부터 입수가능한, 다양한 기판 크기들에 대한 AKT
Figure 112014082903203-pct00002
1600, AKT
Figure 112014082903203-pct00003
3500, AKT
Figure 112014082903203-pct00004
4300, AKT
Figure 112014082903203-pct00005
5500, AKT
Figure 112014082903203-pct00006
10K, AKT
Figure 112014082903203-pct00007
15K 및 AKT
Figure 112014082903203-pct00008
25K를 포함하는 다양한 평행-플레이트 무선 주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템들과 같은, 대면적 기판들을 프로세싱하도록 구성된 플라즈마 강화 화학 기상 증착 시스템과 관련하여 하기에서 예시적으로 설명된다. 하지만, 본 발명은, 둥근 기판들을 프로세싱하도록 구성된 그러한 시스템들을 포함하는, 다른 화학 기상 증착 시스템들 및 임의의 다른 필름 증착 시스템들과 같은 다른 시스템 구성들에서도 유용성(utility)을 갖는 다는 것을 이해해야 한다.
본 발명은, 기판 표면 상에 단일-층 또는 다중층 캡슐화 필름을 증착하기 위해 하나 또는 그 초과의 프로세스 챔버들을 갖는 기판 프로세싱 시스템을 제공한다. 본 발명의 다중층 캡슐화 필름은, 동일한 또는 상이한 기판 프로세싱 시스템 내에서, 기판 프로세싱 시스템의 동일한 또는 상이한 프로세스 챔버들 내에서 증착될 수 있다. 일 실시예에서, 시간을 절약하고 프로세싱 처리량을 개선하기 위해, 다중층 캡슐화 필름은 동일한 진공 기판 프로세싱 시스템 내에서 증착된다. 다른 실시예에서, 본 발명의 다중층 캡슐화 필름은 다중-챔버의(multi-chambered) 기판 프로세싱 시스템 내부의 동일한 또는 상이한 프로세스 챔버들 내에서 기판 표면 상에 증착될 수 있다. 예를 들어, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들 및 하나 또는 그 초과의 저-유전 상수 재료 층들을 갖는 다중층 캡슐화 필름이, CVD 시스템으로부터 기판들을 꺼내지(taking) 않으면서 화학 기상 증착(CVD) 시스템 내에서 효율적으로 증착될 수 있으며, 그리고 물 및 산소가 기판 표면 상으로 확산하게 될 가능성을 감소시킬 수 있다.
도 4는, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.의 디비전인 AKT
Figure 112014082903203-pct00009
로부터 입수가능한, 하나 또는 그 초과의 플라즈마 강화 화학 기상 증착 챔버들을 갖는 기판 프로세싱 시스템(400)의 일 실시예의 개략적인 단면도이다. 기판 프로세싱 시스템(400)은 일반적으로, 하나 또는 그 초과의 프로세싱 챔버들(402), 기판 입/출력 챔버들, 기판 입/출력 챔버들과 프로세싱 챔버들(402) 사이에서 기판을 이송하기 위한 메인 이송 로봇(main transfer robot), 및 자동의 기판 프로세싱 제어를 위한 메인프레임 제어기(mainframe controller)를 포함한다.
프로세싱 챔버(402)는 일반적으로, 하나 또는 그 초과의 소스 화합물들 및/또는 전구체들의 전달을 위한 하나 또는 그 초과의 공급 소스들(404)에 결합된다. 하나 또는 그 초과의 공급 소스들(404)은, 특히, 실리콘-함유 화합물 공급 소스, 수소 가스 공급 소스, 탄소-함유 화합물 공급 소스를 포함할 수 있다. 프로세싱 챔버(402)는 벽들(406) 및 바닥부(bottom)(408)를 포함하며, 이러한 벽들(406) 및 바닥부(408)가 프로세스 용적(412)을 부분적으로 정의한다. 전형적으로, 프로세스 용적(412)은, 프로세싱 챔버(402) 내외로 대면적 유리 기판과 같은 기판(440)의 이동을 용이하게 하기 위해, 포트 및 밸브(미도시)를 통해 액세스(access)된다. 벽들(406)은 리드 어셈블리(lid assembly)(410)를 지지한다. 프로세싱 챔버(402) 외부로 임의의 가스들 및 프로세스 부산물들을 배기시키기 위해 프로세스 용적(412)을 배기 포트(다양한 펌핑 컴포넌트들을 포함함, 미도시)에 결합시키기 위하여, 프로세싱 챔버(402)의 리드 어셈블리(410), 벽들(406) 또는 바닥부(408) 중 하나를 통하여 펌핑 포트(414)가 배치된다.
온도 제어된(temperature controlled) 기판 지지 어셈블리(438)가 프로세싱 챔버(402) 내의 중심에 배치된다. 기판 지지 어셈블리(438)는 프로세싱 동안 기판(440)을 지지한다. 기판 지지 어셈블리(438)는, 적어도 하나의 내장된(embedded) 히터(432)를 캡슐화하는 알루미늄 바디(424)를 포함한다. 기판 지지 어셈블리(438) 내에 배치된, 저항성 엘리먼트(resistive element)와 같은 히터(432)는 선택적인 전력 소스(474)에 결합되며, 그리고 지지 어셈블리(438) 및 그 위에 위치되는 기판(440)을 미리 결정된 온도로 제어가능하게 가열한다.
일 실시예에서, 히터(432)의 온도는, 증착되는 재료 층에 대한 증착/프로세싱 파라미터들에 따라서, 약 200℃ 또는 그 미만, 이를 테면 150℃ 또는 그 미만, 또는 약 20℃ 내지 약 100℃로 설정될 수 있다. 예를 들어, 저온 증착 프로세스에 대해, 히터는 약 60℃ 내지 약 80℃, 이를 테면 약 70℃로 설정될 수 있다.
다른 실시예에서, 기판(440)을 200℃ 또는 그 미만, 이를 테면 약 20℃ 내지 약 100℃의 균일한 온도로 유지하기 위해, 온수(hot water)가 내부에 흐르는 포트가 기판 지지 어셈블리(438) 내에 배치된다. 대안적으로, 히터(432)가 턴오프될 수 있으며, 증착 동안 기판의 온도를 제어하기 위해 기판 지지 어셈블리(438) 내부에서 단지 온수 만이 흐르며, 결과적으로 저온 증착 프로세스에 대해 약 100℃ 또는 그 미만의 기판 온도를 초래한다.
지지 어셈블리(438)는 일반적으로 접지되며, 그에 따라, 플라즈마 전력 소스(422)에 의해, 리드 어셈블리(410)와 기판 지지 어셈블리(438)(또는 챔버의 리드 어셈블리 내에 또는 리드 어셈블리 근처에 위치되는 다른 전극) 사이에 위치되는 가스 분배 플레이트 어셈블리(418)에 공급되는 RF 전력은, 가스 분배 플레이트 어셈블리(418)와 지지 어셈블리(438) 사이의 프로세스 용적(412) 내에 존재하는 가스들을 여기시킨다. 일반적으로, 화학 기상 증착 프로세스를 구동시키기 위해, 전력 소스(422)로부터의 RF 전력은 기판의 크기에 상응하도록(commensurate) 선택된다.
일 실시예에서, 프로세스 용적(412) 내에서 전기장을 발생시키기 위해, 약 10 W 또는 그 보다 큰, 이를 테면 약 400 W 내지 약 5000 W의 RF 플라즈마 전력이 플라즈마 전력 소스(422)에 인가된다. 예를 들어, 약 0.35 watts/cm2 또는 그 보다 큰, 이를 테면 약 0.350 watts/cm2 내지 약 0.903 watts/cm2, 이를 테면 약 0.615 watts/cm2 내지 약 0.821 watts/cm2, 또는 약 0.615 watts/cm2의 전력 밀도가, 본 발명의 저온 기판 증착 방법과 호환되도록(compatible) 이용될 수 있다. 전력 소스(422) 및 매칭 네트워크(미도시)는 프로세스 용적(412) 내에서 전구체 가스들로부터 프로세스 가스들의 플라즈마를 생성하고 유지한다. 바람직하게는, 13.56 MHz의 고 주파수 RF 전력이 이용될 수 있지만, 이는 중요하지 않으며, 더 낮은 주파수들이 또한 이용될 수 있다. 또한, 챔버의 벽들은 세라믹 재료 또는 양극화된 알루미늄 재료로 덮음으로써 보호될 수 있다.
일반적으로, 지지 어셈블리(438)는 하부 측(lower side)(426), 및 기판(440)을 지지하는 상부 측(upper side)(434)을 갖는다. 하부 측(426)은 스템(stem)(442)을 가지며, 스템(442)은 하부 측(426)에 결합되고, 그리고 올려진(elevated) 프로세싱 위치(미도시)와 낮춰진(lowered) 기판 이송 위치 사이에서 지지 어셈블리(438)를 이동시키기 위한 리프트(lift) 시스템(미도시)에 연결된다. 부가적으로, 스템(442)은 지지 어셈블리(438)와 시스템(400)의 다른 컴포넌트들 사이의 전기적(electrical) 그리고 열전대(thermocouple) 리드(lead)들을 위한 도관을 제공한다. 벨로우즈(bellows)(446)가 기판 지지 어셈블리(438)에 결합되어, 프로세싱 챔버(402) 외부의 대기와 프로세스 용적(412) 간에 진공 밀봉(vacuum seal)을 제공하며 그리고 지지 어셈블리(438)의 수직 이동을 용이하게 한다.
일 실시예에서, 프로세싱 동안, 기판과 가스 분배 플레이트 어셈블리(418) 사이의 간격이 약 400 mils 또는 그 보다 크도록, 이를 테면 약 400 mils 내지 약 1800 mils, 예를 들어 약 800 내지 약 1000 mils 이도록, 리프트 시스템이 조정된다. 이러한 간격을 조정할 수 있는 능력은, 큰 기판의 면적(area)에 걸쳐서 요구되는 필름 균일성을 유지하면서, 넓은 범위의 증착 조건들에 대해 프로세스가 최적화될 수 있게 한다. 접지된 기판 지지 어셈블리, 세라믹 라이너(ceramic liner), 높은 압력들 및 가까운 간격(close spacing)의 조합은, 가스 분배 플레이트 어셈블리(418)와 기판 지지 어셈블리(438) 사이에 높은 정도의 플라즈마 국한(plasma confinement)을 제공하며, 그에 의해 당해(subject) 얇은 필름들의 증착 레이트 및 반응 종의 농도를 증가시킨다.
지지 어셈블리(438)는 구획 새도우 프레임(circumscribing shadow frame)(448)을 부가적으로 지지한다. 일반적으로, 새도우 프레임(448)은 지지 어셈블리(438)와 기판(440)의 에지(edge)에서의 증착을 막으며, 이에 따라 기판은 지지 어셈블리(438)에 들러붙지(stick) 않는다. 리드 어셈블리(410)는 전형적으로 입구 포트(entry port)(480)를 포함하며, 이러한 입구 포트를 통해, 가스 소스(404)에 의해 제공되는 프로세스 가스들이 프로세싱 챔버(402) 내로 유입된다. 입구 포트(480)는 또한, 세정 소스(cleaning source)(482)에 결합된다. 세정 소스(482)는 전형적으로, 가스 분배 플레이트 어셈블리(418)를 포함하는 프로세싱 챔버 하드웨어로부터 증착 부산물들 및 필름들을 제거하기 위해 프로세싱 챔버(402) 내로 유입되는, 이를 테면 해리된 불소와 같은 세정제(cleaning agent)를 제공한다.
가스 분배 플레이트 어셈블리(418)는 전형적으로, 기판(440)의 프로파일(예를 들어, 대면적 기판들에 대해서는 다각형 그리고 웨이퍼들에 대해서는 원형)을 실질적으로 따르도록 구성된다. 가스 분배 플레이트 어셈블리(418)는 천공된 영역(perforated area)(416)을 포함하며, 이러한 천공된 영역을 통해, 가스 소스(404)로부터 공급되는, 이를 테면 수소 가스와 같은, 전구체들 및 다른 가스들이 프로세스 용적(412) 내로 전달된다. 천공된 영역(416)은, 가스 분배 플레이트 어셈블리(418)를 통해 프로세싱 챔버(402) 내로 통과하는 가스들의 균일한 분배를 제공하도록 구성된다. 가스 분배 플레이트 어셈블리(418)는 전형적으로, 행어 플레이트(hanger plate)(460)로부터 부유되어 있는(suspended) 확산기 플레이트(diffuser plate)(458)를 포함한다. 가스 분배 플레이트 어셈블리(418)를 통해 프로세스 용적(412) 내로 통과하는 가스의 미리 결정된 분배를 가능하게 하기 위해, 확산기 플레이트(458)를 통해 복수의 가스 통로들(462)이 형성된다.
본 발명으로부터 이득을 얻도록 적응될 수 있는 가스 분배 플레이트들은, 본원과 양수인이 동일한 미국 특허 출원 일련 번호들: Keller 등에 의해 2001년 8월 8일 출원되었으며 미국 특허 번호 6,772,827로서 특허 허여된 09/922,219; 2002년 5월 6일 출원되었으며 미국 특허 번호 7,008,484로서 특허 허여된 10/140,324; 및 Blonigan 등에 의해 2003년 1월 7일 출원되었으며 미국 특허 공개 번호 2004/0129211 A1 으로서 공개된 10/337,483; 2002년 11월 12일 White 등에게 특허 허여된 미국 특허 번호 6,477,980; 및 Choi 등에 의해 2003년 4월 16일 출원되었으며 미국 특허 번호 6,942,753으로서 특허 허여된 미국 특허 출원 일련 번호 10/417,592에서 설명되며, 이러한 특허 문헌들은 이에 의해 그 전체(entireties)가 인용에 의해 본원에 포함된다. 비록 본 발명이 특정 실시예들 및 예시들에 따라 설명되었지만, 본 발명은 이러한 특정 실시예들 및 예시들로 제한되는 것으로 의도되지 않는다. 본원에서의 CVD 프로세스는, 실제의 증착 레이트들에서 높은 품질의 필름들을 얻기 위해, 가스 유량들, 압력, 플라즈마 밀도, 및 온도를 조정하면서, 다른 CVD 챔버들을 이용하여 수행될 수 있다.
캡슐화 필름의 증착
도 5는 본 발명의 실시예들에 따라 본 발명의 방법들을 이용하여 제조되는 예시적인 디스플레이 디바이스(500)를 나타낸다. 디스플레이 디바이스(500)는 기판(501) 및 디바이스(502)를 포함할 수 있으며, 디바이스(502)는 캡슐화될 필요가 있는 임의의 타입의 디스플레이 디바이스들일 수 있다. 예를 들어, 디바이스(502)는, 특히, OLED, FOLED, PLED, 유기 TFT, 태양 전지, 전면 발광 디바이스, 배면 발광 디바이스일 수 있다. 그런 다음, 물/수분 및 공기가 기판(501) 및 디바이스(502) 내로 침투하는 것을 막기 위해, 본 발명의 방법들을 이용하여, 약 1,000Å 또는 그 보다 큰 두께를 갖는 캡슐화 층이 증착된다.
일 실시예에서, 적어도 하나의 장벽 층 및 적어도 하나의 저-유전 상수 재료 층을 갖는 다중층 캡슐화 필름이 디바이스(502)의 상부에 증착됨으로써, 빈약한 접착 및 열적 불안정성(thermal instability)으로 인해 다중층 캡슐화 필름이 디바이스(502)의 표면으로부터 떨어져 나가거나(fallen off) 크래킹되지 않으면서, 물 및 다른 가스들 또는 액체들이 디바이스(502) 내로 확산되고 디바이스(502)를 단락(short-circuit)시키는 것을 막는다. 도 5에 나타낸 바와 같이, 다중층 캡슐화 필름은, 하나 또는 그 초과의 장벽 층들(511, 512, 513 등)과 하나 또는 그 초과의 저-유전 상수 재료 층들(521, 522 등)의 교번하는 층들을 포함한다.
일 양상에서, 본 발명은 하나 또는 그 초과의 장벽 층들(511, 512, 513) 사이에 증착되는 하나 또는 그 초과의 저-유전 상수 재료 층들(521, 522)을 제공한다. 다른 양상에서, 기판 표면 상부에 증착되는 다중층 캡슐화 필름의 최종 층은, 이를 테면 장벽 층(513)과 같은 장벽 층이다. 최종 층은, 예시적인 디스플레이 디바이스(500)의 최종 표면에 대한 우수한 물 및 산소 장벽의 역할을 하도록 하기 위해 본 발명의 방법을 이용하여 증착되는, 특히, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물 및 실리콘 카바이드와 같은 장벽 재료를 포함한다.
디바이스(502) 상부의 제 1 층은 저-유전 상수 재료 층 또는 장벽 층일 수 있다. 바람직한 실시예에서, 본 발명은, 예시적인 디스플레이 디바이스(500)에 대한 수방벽 성능을 강화하기 위해, 디바이스(502) 상부에 증착되는, 장벽 층인 제 1 층을 제공한다. 예를 들어, 장벽 층(511)과 같은 제 1 장벽 층은, 저-유전 상수 재료 층(521)과 같은 저-유전 상수 재료 층 및/또는 접착 강화 층 이전에 증착될 수 있다. 따라서, 저-유전 상수 재료 층들이 장벽 층들의 상부에 증착되어, 인접하는 장벽 층들 간의 접착을 촉진시키며, 이에 따라 다중층 캡슐화 필름은, 이를 테면 약 8,000Å 또는 그 보다 큰 충분한 두께로 증착될 수 있다.
도 6은 본 발명의 일 실시예에 따른 증착 방법(600)의 흐름도를 도시한다. 제일 먼저, 기판 상에 캡슐화 층(305)과 같은 재료 층을 증착하기 위해, 기판 프로세싱 시스템의 프로세스 챔버 내에 기판이 배치된다. 방법(600)은 기판 상에 디바이스를 형성하는 단계를 선택적으로 포함한다. 예시적인 디바이스들은, 제한되는 것은 아니지만, 특히, OLED, PLED 및 FOLED를 포함한다.
단계(602)에서는, 단계(606)에서 캡슐화 층을 증착하기 위해, 이를 테면 실리콘-함유 장벽 층과 같은 장벽 층을 위한 전구체들의 제 1 혼합물이 기판 프로세싱 시스템 내로 전달된다. 전구체들의 제 1 혼합물은, 특히, 이를 테면 실란(SiH4), SiF4, 및 Si2H6과 같은 하나 또는 그 초과의 실리콘-함유 가스들을 포함할 수 있다. 전구체들의 제 1 혼합물은, 특히, 이를 테면 암모니아(NH3), 아산화질소(N2O), 산화 질소(NO), 및 질소 가스(N2)와 같은 하나 또는 그 초과의 질소-함유 가스들을 더 포함할 수 있다. 전구체들의 제 1 혼합물은 또한, 탄소-함유 가스 및/또는 산소-함유 가스를 포함할 수 있다.
예를 들어, 이를 테면, 실란, 암모니아, 및/또는 질소 가스의 혼합물과 같은, 실리콘-함유 가스와 질소-함유 가스의 혼합물로부터, 실리콘 질화물 장벽 층이 증착될 수 있다. 다른 예로서, 이를 테면, 실란, 아산화질소, 및/또는 질소 가스의 혼합물과 같은, 실리콘-함유 가스, 산소-함유 가스 및 질소-함유 가스의 혼합물로부터, 실리콘 산질화물 장벽 층이 증착될 수 있다.
다른 예에서, 실리콘 함유 가스(이를 테면, SiH4), 제 1 질소-함유 가스(이를 테면, NH3) 및 제 2 질소-함유 가스(이를 테면, N2)의 혼합물로부터, 실리콘 질화물 장벽 층이 증착될 수 있다. 실리콘 질화물 장벽 층은, 약 0.350 watts/cm2 내지 약 0.903 watts/cm2, 이를 테면 약 0.615 watts/cm2 내지 약 0.821 watts/cm2의 플라즈마 전력 밀도에서, 그리고 약 0.5 Torr 내지 약 3.0 Torr, 이를 테면 약 1.5 Torr 내지 약 2.8 Torr의 챔버 압력에서, 약 800 mils 내지 약 100 mils의 간격을 이용하여 증착될 수 있다. 비록 상기 프로세싱 파라미터들이 400 mm × 500 mm 기판 상에 실리콘 질화물 장벽 층을 증착하기 위해 이용되었지만, 이러한 파라미터들은 더 큰 크기의 기판들 상에 실리콘 질화물 장벽 층을 증착하는 데에 여전히 이용될 수 있다. 실리콘 질화물 장벽 층을 증착하는 데에 이용되는 유량들은 기판의 크기에 대해 스케일링가능하며(scalable), 일 실시예에서는, 400 mm × 500 mm 기판과 730 mm × 920 mm 기판 사이에서 3.3의 팩터(factor)에 의해 스케일링가능하다. 일 실시예에서, 400 mm × 500 mm 기판 상에 실리콘 질화물 장벽 층을 증착하는 데에 이용되는 가스 혼합물은 다음과 같이 제공되었다:
실리콘-함유 가스, 이를 테면 SiH4가 기판 면적(area)의 약 72 내지 약 108 sccm/m2의 레이트로 제공될 수 있고;
제 1 질소-함유 가스, 이를 테면 NH3가 기판 면적의 약 96 내지 약 144 sccm/m2의 레이트로 제공될 수 있으며; 그리고
제 2 질소-함유 가스, 이를 테면 N2가 기판 면적의 약 240 내지 약 360 sccm/m2의 레이트로 제공될 수 있다.
다른 예에서, 실리콘 함유 가스(이를 테면, SiH4), 제 1 질소-함유 가스(이를 테면, NH3) 및 제 2 질소-함유 가스(이를 테면, N2)의 혼합물로부터, 실리콘 질화물 장벽 층이 증착될 수 있다. 실리콘 질화물 장벽 층은, 약 0.553 watts/cm2 내지 약 0.903 watts/cm2, 이를 테면 0.615 watts/cm2 내지 약 0.821 watts/cm2의 전력 밀도에 대해 2700 내지 4400 Watts의 플라즈마 전력에서, 그리고 약 0.5 Torr 내지 약 3.0 Torr, 이를 테면 약 1.5 Torr 내지 약 2.8 Torr의 챔버 압력에서, 약 1800 mils 내지 약 100 mils의 간격을 이용하여 증착될 수 있다. 일 실시예에서, 650 mm × 750 mm 기판 상에 실리콘 질화물 장벽 층을 증착하는 데에 이용되는 가스 혼합물은 다음과 같이 제공되었다:
실리콘-함유 가스, 이를 테면 SiH4가 기판 면적의 약 1550 내지 약 3115 sccm/m2, 예를 들어 기판 면적의 약 1720 내지 약 2830 sccm/m2, 이를 테면 기판 면적의 약 1720 sccm/m2의 레이트로 제공될 수 있고;
제 1 질소-함유 가스, 이를 테면 NH3가 기판 면적의 약 2360 내지 약 6140 sccm/m2, 예를 들어 기판 면적의 약 2625 내지 약 5580 sccm/m2, 이를 테면 기판 면적의 약 2750 sccm/m2의 레이트로 제공될 수 있으며; 그리고
제 2 질소-함유 가스, 이를 테면 N2가 기판 면적의 약 9305 내지 약 15455 sccm/m2, 예를 들어 기판 면적의 약 10340 내지 약 14050 sccm/m2, 이를 테면 기판 면적의 약 10340 sccm/m2의 레이트로 제공될 수 있다.
단계(604)에서는, 단계(606)에서의 실리콘-함유 무기 장벽 층의 증착을 위해, 수소 가스가 기판 프로세싱 시스템 내로 전달된다. OLED 디바이스(300)와 같은 디스플레이 디바이스에 대한 기판 프로세싱 동안의 기판 온도는, 이를 테면 유기 재료들(303)의 다수의 층들과 같은, OLED 디바이스 내의 유기 층들의 열적 불안정성으로 인해, 저온으로 유지될 필요가 있다. 일반적으로, 약 150℃ 또는 그 미만, 이를 테면 약 100℃ 또는 그 미만, 약 90℃ 또는 그 미만, 또는 약 20℃ 내지 약 90℃, 예를 들어 85℃의 온도가 요구된다.
수소 가스의 존재는 증착되는 실리콘-함유 무기 장벽 층의 표면 거칠기(surface roughness)를 감소시키는 것으로 발견되었는데, 결과적으로 약 40Å 내지 약 70Å의 표면 거칠기 측정(RMS)이 약 40Å 또는 그 미만, 이를 테면 약 15Å 또는 그 미만, 바람직하게는 약 10Å 또는 그 미만으로 감소되었다. 우리는 또한, 감소된 표면 거칠기(매끄러운 표면)를 갖는 장벽 층은 장벽 층 내로의 물 침투를 상당히 막음으로써, 이러한 장벽 층을 아래의 임의의 재료들(예를 들어, 디스플레이 디바이스들에 대해 이용되는 유기 및/또는 폴리머 재료들)에 대한 우수한 캡슐화 층이 되게 한다는 것을 발견하였다. 수소 가스의 유입은, 90% 상대 습도를 가지면서 약 38℃에서 측정되는, 하루에 평방 미터당 약 1 × 10-2 grams 미만, 이를 테면 하루에 평방 미터당 약 1 × 10-3 grams 내지 하루에 평방 미터당 약 1 × 10-4 grams의 WVTR(water vapor transmission rate)에 의해, 물 침투를 막는다.
실리콘 질화물 장벽 층을 증착하는 동안 수소 가스를 제공하는 데에 이용되는 유량들은 기판의 크기에 대해 스케일링가능하며, 일 실시예에서는, 400 mm × 500 mm 기판과 730 mm × 920 mm 기판 사이에서 3.3의 팩터(factor)에 의해 스케일링가능하다. 일 실시예에서, 400 mm × 500 mm 기판 상에 실리콘 질화물 장벽 층을 증착하는 동안 이용되는 수소 가스는 기판 면적의 약 640 내지 약 960 sccm/m2의 레이트로 제공될 수 있다. 또 다른 실시예에서, 650 mm × 750 mm 기판 상에 실리콘 질화물 장벽 층을 증착하는 동안 이용되는, 이를 테면 H2와 같은 수소 가스는, 기판 면적의 약 23255 내지 약 43325 sccm/m2, 예를 들어 기판 면적의 약 26255 내지 약 39385 sccm/m2, 이를 테면 기판 면적의 약 27550 sccm/m2의 레이트로 제공될 수 있다.
실리콘 질화물 장벽 층은, 본원에서 설명되는 프로세스를 이용하여, 2230 내지 적어도 4100Å/min 까지의 레이트로 증착될 수 있다.
단계(608)에서, 저-유전 상수 재료 층을 위한 전구체들의 제 2 혼합물이, 동일한 또는 상이한 기판 프로세싱 시스템 내로 전달된다. 바람직하게는, 기판 프로세싱의 처리량을 증가시키기 위해, 저-유전 상수 재료 층은 장벽 층 증착 시스템과 동일한 기판 프로세싱 시스템 내에서 프로세싱된다. 또한, 동작의 용이성(ease)을 위해, 그리고 기판 프로세싱 시스템 내외로 기판을 꺼낼 때 공기 및 수분에 노출되는 가능성을 줄이기 위해, 기판은 장벽 층 및/또는 저-유전 상수 재료를 증착하기 위한 기판 프로세싱 시스템의 동일한 또는 상이한 프로세스 챔버 내에 배치될 수 있다.
전구체들의 제 2 혼합물은, 특히, 이를 테면 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 메탄(CH4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 및 톨루엔(C7H8)과 같은 하나 또는 그 초과의 탄소-함유 화합물들을 포함할 수 있다.
저-유전 상수 재료 층은, 특히, 비정질 탄소 재료, DLC(diamond-like-carbon) 재료, 및 탄소-도핑된 실리콘 함유 재료일 수 있다. 예를 들어, 비정질 탄소 층은, 이를 테면 아세틸렌(C2H2)과 같은 탄소-함유 화합물의 혼합물로부터 증착될 수 있다.
단계(610)에서는, 단계(612)에서 약 200℃ 또는 그 미만의 기판 온도에서 기판의 표면 상에 저-유전 상수 재료 층이 증착되는 동안, 수소 가스가 기판 프로세싱 시스템 내로 전달된다. 바람직하게는, 약 150℃ 또는 그 미만, 이를 테면 약 100℃ 또는 그 미만, 약 80℃ 또는 그 미만, 또는 약 20℃ 내지 약 80℃의 기판 온도가 이용된다.
수소 가스의 존재는 증착되는 저-유전 상수 재료 층의 필름 균일성을 개선시키는 것으로 발견되었는데, 결과적으로, 약 +/- 15% 내지 약 +/- 35%의 필름 균일성 측정(film uniformity measurement)이 약 +/- 10% 또는 그 미만, 이를 테면 약 +/- 5% 또는 그 미만, 또는 약 +/- 3% 또는 그 미만으로 개선되었다. 우리는 또한, 개선된 필름 균일성을 갖는 저-유전 상수 재료 층은 증착되는 저-유전 상수 재료 층의 스텝 커버리지를 상당히 개선시키며, 그에 따라, 우수한 스텝 커버리지를 갖는 부가적인 다중층들이 증착될 수 있다는 것을 발견하였다. 예를 들어, 캡슐화 필름의 층들에 대해, 약 80% 또는 그 보다 높은, 예를 들어 약 95% 또는 그 보다 높은 스텝 커버리지가 관찰되었다.
단계(614)에서, 실리콘-함유 무기 장벽 층 및 저-유전 상수 재료 층을 갖는 캡슐화 필름의 미리결정된 두께에 도달했다면, 단계(616)에서 증착 프로세스가 끝날 수 있다. 하지만, 캡슐화 층의 미리결정된 두께에 도달하지 않았다면, 단계들(602, 604, 606, 608, 610, 612)의 조합들 중 임의의 조합이 반복될 수 있다. 예를 들어, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층 및 하나 또는 그 초과의 저-유전 상수 재료 층들이 증착된 후, 요구되는 두께가 얻어지면, 방법(600)이 끝날 수 있으며, 이 경우 실리콘-함유 무기 장벽 층 또는 저-유전 상수 재료 층이 마지막으로 증착된다.
캡슐화 층의 두께는 달라질 수 있다. 예를 들어, 약 1,000Å 또는 그 보다 큰, 예를 들어 약 10,000Å 또는 그 보다 큰, 이를 테면 약 20,000Å 내지 약 60,000Å의 두께가 요구될 수 있다. 우리는, 디바이스(502)에 대한 캡슐화 필름의 두께는 캡슐화 필름의 공기 및 수분 장벽 성능과 상관되며(correlated), 그에 따라 디바이스(502)의 수명을 연장시킨다는 것을 발견하였다. 본 발명의 방법들을 이용하게 되면, 디바이스(502)에 대해 약 40일 또는 그 보다 긴, 이를 테면 약 45일 또는 그 보다 긴, 또는 약 60일 또는 그 보다 긴 수명을 얻을 수 있다.
일 양상에서, 본 발명의 방법들을 이용하여 증착되는 단일 장벽 층이 본 발명의 디스플레이 디바이스에 대한 캡슐화 필름으로서 이용될 수 있다. 예를 들어, 약 10,000Å의 두께를 갖는 단일의 실리콘 질화물 장벽 층이 캡슐화 필름으로서 이용될 수 있다. 다른 양상에서, 본 발명은 적어도 하나의 실리콘-함유 무기 장벽층 및 적어도 하나의 저-유전 상수 재료 층을 갖는 다중층 캡슐화 필름을 제공한다. 실리콘-함유 무기 장벽 층은 약 1,000Å 내지 약 10,000Å, 이를 테면 약 2,000Å 내지 약 8,000Å의 두께를 가질 수 있다. 저-유전 상수 재료 층은 약 1,000Å 내지 약 10,000Å의 두께를 가질 수 있다. 저-유전 상수 재료의 존재는, 개선된 열 안정성을 가지면서, 인접하는 장벽들 간의 접착을 증가시킴으로써, 충분한 두께의 실리콘-함유 무기 장벽 층들의 다중층들을 가능하게 한다는 것을 발견하였다.
본 발명의 하나의 예시적인 캡슐화 필름은, 약 3,000Å 내지 약 30,000Å의 총 두께에 대해, 2개의 실리콘 질화물 층들 및 실리콘 질화물 층 사이의 비정질 탄소 재료 층을 포함할 수 있다. 본 발명의 다른 예시적인 캡슐화 필름은, 약 9,000Å 내지 약 90,000Å의 총 두께에 대해, 5개의 실리콘 질화물 층들 및 이러한 5개의 실리콘 질화물 층들 사이의 4개의 비정질 탄소 재료 층들을 포함할 수 있다.
각 층이 증착되기 전에 또는 각 층이 증착된 후에, 기판의 표면이 플라즈마로 세정될 수 있다. 예를 들어, 하나 또는 그 초과의 세정 가스들이 프로세스 챔버에 공급될 수 있으며, 그리고 세정 플라즈마를 발생시키기 위해 마이크로파 전력 소스 또는 RF 전력 소스로부터 전기장이 인가될 수 있다. 세정 가스들은, 제한되는 것은 아니지만, 특히, 산소-함유 가스(예를 들어, 산소, 이산화탄소), 수소-함유 가스(예를 들어, 수소 가스), 질소-함유 가스(예를 들어, 암모니아, 아산화질소), 비활성 가스(예를 들어, 헬륨, 아르곤)를 포함할 수 있다. 수소-함유 가스의 예들은, 제한되는 것은 아니지만, 특히, 수소 가스(H2) 및 암모니아(NH3)를 포함한다. 또한, 세정 가스로부터 발생되는 플라즈마에 의해 챔버가 세정될 때, 세정 가스는 선택적으로, 캐리어 가스와 함께 전달되고 챔버 내에 공급될 수 있다. 예시적인 캐리어 가스는 비활성 가스들, 특히, 이를 테면 헬륨 및 아르곤을 포함한다. 예를 들어, 이전의 기판 프로세싱 및 기판 제거 이후, 프로세스 챔버 내부의 임의의 재료, 이를 테면, 챔버 벽들, 가스 분배 플레이트, 모든 곳(everywhere)에 있는 재료들을 세정하여 제거(clean away)하기 위해, 인시츄(in-situ) 산소 플라즈마가 발생될 수 있다.
본 발명의 실시예들은 본원에서 설명된 바와 같은 순서로 단계들이 수행될 것을 요구하지 않음이 주목된다. 예를 들어, 전구체들의 혼합물이 챔버 내로 전달되기 전에, 수소 가스가 프로세스 챔버 내로 전달될 수 있으며, 그리고 몇몇 경우들에서, 단계들(602 및 604)은 동시에 수행될 수 있다. 유사하게, 단계들(608 및 610)이 동시에 수행될 수 있다.
적어도 하나의 실리콘-함유 장벽 층의 증착
프로세스 챔버 내로 전달되는 전구체들의 혼합물로부터 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들이 증착된다. 이러한 전구체들은, 기판 상에 캡슐화 층으로서, 특히, 실리콘 질화물(SiN), 실리콘 산질화물(SiON) 또는 실리콘 산화물(SiO), 실리콘 카바이드(SiC)의 층을 증착하기 위해, 특히, 이를 테면 실란(SiH4), Si2H6, SiF4와 같은 실리콘-함유 전구체를 포함할 수 있다. 약 400 mm × 약 500 mm의 기판 크기에 대해, 실리콘-함유 전구체는, 예를 들어, 10 sccm 또는 그 보다 많은(larger), 이를 테면 약 100 sccm 내지 약 500 sccm의 유량으로 전달될 수 있다. 다양한 질소-함유 전구체들에 대해, 질소-함유 전구체는 약 5 sccm 또는 그 보다 많은, 이를 테면 약 100 sccm 내지 약 6000 sccm의 유량으로 전달될 수 있다.
다른 예에서, 약 650 mm × 약 750 mm의 기판 크기에 대해, 예를 들어, 750 sccm 또는 그 보다 많은, 이를 테면 약 800 sccm 내지 약 1520 sccm의 유량으로 전달되는 실리콘-함유 전구체를 이용하여, 실리콘-함유 무기 장벽 층들(이를 테면, SiNx, 여기서 X는 양수임)이 증착될 수 있다. 제 1 질소-함유 전구체(이를 테면, NH3)가 약 1000 sccm 또는 그 보다 많은, 이를 테면 약 1150 sccm 내지 약 2995 sccm의 유량으로 전달될 수 있다. 제 2 질소-함유 전구체(이를 테면, N2)가 약 4500 sccm 또는 그 보다 많은, 이를 테면 약 4535 sccm 내지 약 7535 sccm의 유량으로 전달될 수 있다. 수소-함유 전구체(이를 테면, H2)가 또한, 약 12000 sccm 또는 그 보다 많은, 이를 테면 약 11520 sccm 내지 약 21120 sccm의 유량으로 전달될 수 있다.
예를 들어, 실리콘 산질화물 필름을 증착하기 위해, 전구체들의 혼합물은, 특히, 실란, 아산화질소 및 질소를 포함할 수 있다. 대안적으로, 실리콘 질화물 필름을 증착하기 위해, 특히, 실란, 암모니아, 및 질소가 이용된다. 또한, 실리콘 산화물 필름을 증착하기 위해, 전구체들은 실란 및 아산화질소를 포함할 수 있다. 또한, 요구되는 다양한 증착 파라미터들에 의존하여, 각 전구체는 상이한 또는 동일한 유량으로 전달될 수 있다. 본 발명의 실시예들은, 특히, 기판 크기들, 챔버 조건들 등에 따라서, 본원에서 설명되는 프로세스 파라미터/변수들 중 임의의 프로세스 파라미터/변수를 스케일링업(scaling up) 또는 스케일링다운(scaling down)하는 것을 포함한다는 것이 이해된다.
하나 또는 그 초과의 실리콘-함유 무기 장벽 층들을 증착하는 동안, 본 발명의 캡슐화 층의 수방벽 성능을 개선하기 위해, 수소 가스가 프로세스 챔버 내로 전달된다. 또한, 수소 가스의 유입은, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들의 표면 거칠기를 감소시켜서, 실리콘-함유 무기 장벽 층들을 우수한 캡슐화 층이 되게 하는 것으로 발견되었다.
전기장을 인가하고 그리고 프로세스 챔버 내부에서 플라즈마를 발생시킴으로써, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들이 기판 상에 증착된다. 프로세스 챔버에 전력 소스, 이를 테면 무선-주파수 전력, 마이크로파 주파수 전력을 인가함으로써, 전기장이 발생될 수 있다. 전력 소스는 프로세스 챔버에 유도적으로 또는 용량적으로 결합될 수 있다. 또한, 프로세스 챔버의 압력은 약 0.5 Torr 내지 약 10 Torr로 유지된다.
결과적으로, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들은, 약 500 Å/min 또는 그 보다 큰(larger), 이를 테면 약 2000 Å/min 내지 적어도 약 4110 Å/min의 증착 레이트로 증착된다. 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들의 두께는 약 1,000 Å 내지 약 30,000 Å의 범위로 달라질 수 있다. 일반적으로, 물 침투를 막기 위해서는, 보다 두꺼운 장벽 층이 보다 얇은 장벽 층 보다 더 좋다. 이러한 높은 증착 레이트들에서, 두께 균일성은 기판에 걸쳐서 96.2 내지 98.7% 만큼 높은 것으로 나타났다.
하나 또는 그 초과의 실리콘-함유 무기 장벽 층들은, 단계들(602-606)과 관련하여 상기 설명된 바와 같이 증착될 수 있다. 이러한 방식으로 증착되는 실리콘-함유 무기 장벽 층들은, 종래 기술의 증착 기술들과 비교하여, 개선된 광 투과를 나타낸다. 예를 들어, 도 11에 도시된 바와 같이, 단계들(602-606)과 관련하여 상기 설명된 바와 같이 증착되는 실리콘-함유 무기 장벽 층들로서 구성되는 캡슐화 필름을 나타내는 트레이스(1102)는, 캡슐화 필름을 나타내는 트레이스(1104)와 비교하여, 더 낮은 파장들에서 크게 개선된 광 투과를 도시한다. 또한, 이러한 방식으로 증착되는 실리콘-함유 무기 장벽 층들은 또한, 종래 기술의 증착 기술들과 비교하여, 감소된 응력을 나타낸다. 예를 들어, 대부분의 통상의 필름들은 약 -2.10 × 109 dynes/cm2의 압축 응력을 갖는 한편, 실리콘-함유 무기 장벽 층의 캡슐화 필름은 약 -0.80 × 109 dynes/cm2의 압축 응력을 갖는다. 이와같이 응력이 상당히 감소하게 되면, 필름의 보다 큰 가요성 및 크래킹에 대한 감소된 경향을 가능하게 하는데, 이는 차세대의 가요성 디스플레이들에 대해 가장 중요하다.
대조적으로, 통상의 저온 무기 필름 증착 프로세스들은 캡슐화 층 내에서 원치않는 특성들을 야기하였다. 예를 들어, 이를 테면 웨이퍼 테스트 이후의 높은 굴절률 변화, 높은 투과 푸리에 변환 적외선 스펙트럼들(FTIR) 변화, 웨이퍼 테스트 이후의 높은 WVTR(water vapor transmission rate)과 같은, 빈약한 필름 특성 및 결함 구조로 인해, 필름의 표면이 거칠고, 그리고 필름이 더 낮은 밀도를 갖는다. 예로서, 본원에서는, 우수한 수분 장벽/필름으로서 이용될, 우수한 수방벽 성능을 갖는 실리콘 질화물의 얇은 필름의 증착이 하기에서 더 설명될 것이지만, 본 발명은 본원에서 설명되는 구체적인 내용들로 제한되는 것으로 의도되지 않는다.
약 800 mils 내지 약 1800 mils, 예를 들어 약 900 mils의 간격을 가지면서, 진공 상태 하에서 기판들(400 mm × 500 mm 크기)을, 통상의 평행-플레이트 무선-주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템(캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 AKT 1600 PECVD)의 챔버 내부로 가져갔다. 저온 증착 프로세스에 대해, 기판 지지부(서셉터)의 온도는 약 60℃로 설정되었다. 개선된 광 투과 및 감소된 응력을 갖는 수분 및 산소 장벽으로서 실리콘 질화물 필름을 증착하기 위해, 수소 가스(H2)의 존재하에서 실란(SiH4), 암모니아(NH3), 질소(N2)의 혼합물이 소스 전구체 가스들로서 챔버 내로 전달되었다. 증가된 증착 레이트가 부가적으로 달성되었다. 비교로서, 실리콘 질화물을 증착하기 위해 실란(SiH4), 암모니아(NH3) 및 질소(N2)를 이용하는 종래 기술의 방법들이, 동일한 프로세스 조건들 하에서 동시에 준비되었다. 챔버 내부의 압력은 약 2.1 Torr 이다. 약 900 W 및 약 13.56 MHz로 설정된 RF 전력 발생기에 의해, 플라즈마가 유지되었다.
수소 가스의 존재하에서 증착되는 실리콘 질화물 필름들은, 처음에, 약 1.70 내지 약 1.96의 굴절률(RI) 및 0(zero) 내지 약 2 x 109 dynes/cm2 의 필름 응력을 갖는 필름 특성들을 나타낸다. 수소 소스 가스의 존재하에서 증착되는 실리콘 질화물 필름에 대한 평균 표면 거칠기는 약 9Å 내지 약 12Å 이었는데, 이는 매끄러운(smooth) 표면을 나타낸다. H2 가스의 존재는 필름 표면 거칠기를 감소시키는 데에 있어서 중요한 역할을 하며, 그리고 매끄러운 표면은 대기로부터 필름 내부로의 물/산소 침투를 막으며, 결과적으로, 평판 디스플레이 산업에서 수분/물에 대한 내성을 나타내기 위한 주요 파라미터인, 훨씬 더 낮은 WVTR(Water Vapor Transmission Rate) 값을 초래한다. 본원에서 설명된 바와 같은, 수소 소스 가스의 존재하에서 증착되는 실리콘 질화물 필름은 95 g/m2-day 만큼 낮은 WVTR을 나타내었다. WVTR을 측정하기 위한 물 테스트는, 일반적으로, 특정량의 시간(몇 시간 또는 며칠, 등) 동안 약 40% 내지 약 100% 상대 습도(RH) 및 약 25℃ 내지 약 100℃의 온도 범위에서 동작하는 습도 챔버에 테스트 구조를 배치함으로써 수행되는 고 습도(high-humidity) 테스트이다. 테스트되는 온도 및 테스트되는 상대 습도에서 WVTR(Water Vapor Transmission Rate)을 제공하기 위해, 테스트 시간 당, 테스트되는 구조의 특정 크기 상에서 유지되는 물의 양을 계산하였다.
주요 수방벽 성능의 비교
H2가 없는 SiN 필름 H2가 있는 SiN 필름
증착 이후의 표면 거칠기(RMS) 약 40Å 내지 약 70Å 약 9Å 내지 약 12Å
물 처리(100℃/100시간) 이후의 굴절률(RI) 변화 15% 0%
물 처리(100℃/100시간) 이후의 FTIR 변화 O-H 결합 증가,
Si-H 결합 감소,
N-H 결합 감소
변화 없음
38℃/90% 상대 습도에서의 WVTR(Water Vapor Transmission Rate) 약 1.0 ×10-2 g/m2-day 초과 약 1.0 ×10-4 g/m2-day 내지 약 1.0 ×10-3 g/m2-day
부가적으로, 습식 식각 레이트(WER)는 약 210Å/min 또는 그 미만으로 개선되었는데, 이는 통상적으로 증착되는 필름들 보다 더 우수하다. 몇몇 예시들에서, 습식 식각 레이트(WER)는 약 95Å/min 만큼 낮은 것으로 나타났다.
수소 소스 가스에 의해 증착되는 SiN 필름에 대한 물 처리 이전 및 이후의 투과 푸리에 변환 적외선 스펙트럼들(FTIR)이 또한 수행되고 비교되었다. 굴절률(RI) 및 FTIR의 변화를 비교하기 위한 물 처리가 또한, 증착된 상이한 필름들을 특정된 시간량(예를 들어, 약 100 시간) 동안 온수(이를 테면, 약 100℃)에 담금으로써(soaking) 수행되었다. 1500 cm-1 내지 4000 cm-1 범위의 FTIR 스펙트럼들이 기록되었다. Si-H, N-H, 및 O-H 결합들이 스펙트럼들 내에서 나타났다. 물 처리 이전 및 이후에 큰(much) 차이가 없는데, 이는 수소 소스 가스에 의해 증착된 SiN 필름으로부터 물 처리 이후 임의의 결합들에 대한 어떠한 변화도 없음을 나타낸다. 표 1에 나타낸 바와 같이, 결과들은 또한, 약 100 시간 동안 약 100℃의 물 내에서의 SiN 필름의 처리(뜨겁고 습함) 이후, 전구체 소스 가스들 중 하나로서 수소 가스의 존재하에서의 증착 조건들 하에서 증착된 SiN 필름에 대한 굴절률의 어떠한 변화도 없음을 나타내었다. 물 테스트 이후 측정된 낮은 WVTR(water vapor transmission rate)의 결과들과 함께, 이들 모두는, 소스 가스 혼합물들의 일부로서 수소 가스를 이용하여, 우수한 수방벽 성능을 갖는 고품질의 실리콘 질화물이 증착되었음을 나타낸다.
비교로서, 수소 소스 가스 없이 종래 기술의 방법을 이용하여 증착된 SiN 필름들에 대한 물 처리 이전 및 이후의 투과 푸리에 변환 적외선 스펙트럼들(FTIR) 변화가 또한 수행되고 비교되었다. 결과들은, Si-H 결합의 큰(big) 감소, N-H 결합의 작은(small) 감소, 및 O-H 결합의 작은 피크(peak) 증가를 나타내었다. 표 1에 또한 나타낸 바와 같이, 결과들은, 수소 소스 가스 없이 증착된 SiN 필름에 대한 굴절률의 약 15% 변화가 있음을 나타내었다. 또한, 물 테스트 이후, 더 높은 WVTR(water vapor transmission rate)이 측정되었다. 이들 모두는, 수소 소스 가스 없이 증착된 실리콘 질화물 필름은 빈약한 수방벽 성능을 보인다는 것을 나타낸다.
적어도 하나의 저-유전 상수 재료 층의 증착
본 발명의 양상들은 저-유전 상수 재료 층 및 실리콘-함유 무기 장벽 층을 교번하여(alternatively) 증착하는 것을 제공한다. 약 4 미만의 유전 상수(k)를 갖는 하나의 예시적인 저-유전 상수 재료 층은 비정질 탄소 재료이다. 저-유전 상수 재료들의 다른 예들은, 특히, 탄소-함유 저-유전 상수 재료들, 탄소-도핑된 실리콘 재료, DLC(diamond-like carbon) 재료를 포함한다.
도 7은 본 발명의 일 실시예에 따른 증착 방법(700)의 흐름도를 도시한다. 단계(702)에서, 기판 상에, 이를 테면 비정질 탄소 재료 층과 같은 저-유전 상수 재료를 증착하기 위해, 기판이 증착 프로세스 챔버에 배치된다.
단계(704)에서, 비정질 탄소 재료를 위한 전구체들의 혼합물이 프로세스 챔버 내로 전달된다. 매우 다양한 가스 혼합물들이 저-유전 상수 재료를 증착하는 데에 이용될 수 있으며, 그리고 이러한 가스 혼합물들의 비제한적인 예들이 하기에서 제공된다. 일반적으로, 가스 혼합물은 하나 또는 그 초과의 탄소-함유 화합물들 및/또는 탄화수소 화합물들을 포함할 수 있다. 적합한 유기 탄소-함유 화합물들은 지방족 유기 화합물들, 고리형 유기 화합물들, 또는 이들의 조합들을 포함한다. 지방족 유기 화합물들은, 하나 또는 그 초과의 탄소 원자들을 포함하는 선형(linear) 또는 분지형(branched) 구조들을 갖는다. 유기 탄소-함유 화합물들은 유기 기(organic group)들 내에 탄소 원자들을 포함한다. 유기 기들은 알킬기, 알켄닐기, 아키닐기, 시클로헥세닐기 및 아릴기 뿐만 아니라 이들의 기능적 유도체(functional derivative)들을 포함할 수 있다. 탄소-함유 전구체/화합물은, 약 400 mm × 약 500 mm의 기판 크기에 대해, 예를 들어, 10 sccm 또는 그 보다 많은, 이를 테면 약 100 sccm 내지 약 500 sccm의 유량으로 전달될 수 있다.
예를 들어, 탄소-함유 화합물은, 제한되는 것은 아니지만, 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 메탄(CH4), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8) 및 이들의 조합들을 포함하는 화학식 CxHy를 가질 수 있으며, 여기서 x는 1 내지 8의 범위를 가지며, 그리고 y는 2 내지 18의 범위를 갖는다. 대안적으로는, 탄소-함유 화합물들의 부분적으로 또는 완전히 불소화된(fluorinated) 유도체들, 예를 들어, C3F8 또는 C4F8을 이용하여, 비정질 탄화불소(fluorocarbon) 층으로서 설명될 수 있는 불소화된 비정질 탄소 층을 증착할 수 있다. 탄화수소 화합물들의 조합 및 탄화수소 화합물들의 불소화된 유도체들을 이용하여, 비정질 탄소 층 또는 비정질 탄화불소 층을 증착할 수 있다.
비정질 탄소 재료의 특성들을 변경하기 위해, 다양한 가스들이 가스 혼합물에 부가될 수 있다. 약 5 sccm 또는 그 보다 많은, 이를 테면 약 100 sccm 내지 약 6000 sccm의 유량으로 전달되는, 특히, 비활성 가스(예를 들어, 헬륨, 아르곤, 네온, 제논, 크립톤 등), 질소(N2), 암모니아(NH3), 아산화질소(N2O), 산화질소(NO), 또는 이들의 조합들을 이용하여, 저-유전 상수 비정질 탄소 층의 증착 레이트 및 밀도를 제어한다. 또한, H2 및/또는 HN3의 부가를 이용하여, 비정질 탄소 층의 수소 비율을 제어함으로써, 반사율과 같은 층 특성들을 제어할 수 있다.
단계(706)에서, 필름 균일성을 강화(균일성 측정치 %의 감소)하기 위해, 수소 가스가 프로세스 챔버 내로 전달된다. 수소 가스가 소스 가스로서 부가될 때, 약 +/- 10% 또는 그 미만, 이를 테면 약 +/- 5% 또는 그 미만, 또는 약 +/-3% 또는 그 미만의 필름 균일성이 얻어진다. 대조적으로, 수소 가스를 부가하지 않게 되면, 증착되는 저-유전 상수 비정질 탄소 재료는 매우 거칠고 불균일하며, 약 +/-15% 내지 약 +/-35%의 필름 균일성 측정치를 갖는다. 필름 균일성을 개선하기 위한 수소 가스가 없으면, 다중 층들이 증착될 때 스텝 커버리지에 훨씬 더 강렬한(drastic) 영향을 미치게 된다. 강화된 필름 균일성(매끄럽고 균일한 필름 표면)을 갖는 저-유전 상수 비정질 탄소 재료 층은 스텝 커버리지를 약 80% 또는 그 보다 높게, 또는 심지어 약 95% 또는 그 보다 높게 상당히 개선시키며, 그리고 또한, 다중층 필름 스택에서 실리콘-함유 무기 장벽 층들 사이에 잘 부착된다.
단계(708)에서, 전기장이 인가되고, 프로세스 챔버 내부에서 플라즈마가 발생된다. 전기장은, 이를 테면 무선-주파수 전력, 마이크로파 주파수 전력과 같은 전력 소스를 프로세스 챔버에 인가함으로써 발생될 수 있다. 전력 소스는 프로세스 챔버에 유도적으로 또는 용량적으로 결합될 수 있다. 약 0.14 watts/cm2 내지 약 8.6 watts/cm2의 전력 밀도 또는 약 100 watts 내지 약 6000 watts의 전력 레벨에서 플라즈마를 형성하기 위해, 단일의 13.56 MHz RF 전력 소스로부터의 전력이 프로세스 챔버에 공급될 수 있다. 바람직하게는, 약 0.25 watts/cm2 내지 약 0.6 watts/cm2의 전력 밀도가 프로세스 챔버에 공급되어, 플라즈마를 발생시킨다. RF 전력은 약 0.01 MHz 내지 300 MHz의 주파수에서 제공될 수 있다. RF 전력은 연속적으로 제공될 수 있거나 또는 짧은 지속기간의 사이클(short duration cycle)들 동안 제공될 수 있다. 화합물들의 해리를 증가시키기 위해, 프로세스 챔버에 RF 전력이 결합된다. 화합물들은 또한, 증착 챔버에 들어가기 전에, 마이크로파 챔버 내에서 해리될 수 있다. 하지만, 각각의 파라미터들은, 다양한 챔버들 내에서 그리고 상이한 기판 크기들에 대해 플라즈마 프로세스들을 수행하도록 변형될 수 있다는 것을 주목해야 한다.
탄소-함유 화합물 및 수소 가스가 프로세스 챔버에 유입되는데, 이러한 탄소-함유 화합물 및 수소 가스는 탄소-함유 화합물 공급 소스 및 수소 가스 공급 소스로부터 가스 분배 시스템을 통해 프로세스 챔버 내로 유입된다. 가스 분배 시스템은 일반적으로, 저-유전 상수 비정질 탄소 층이 상부에 증착되고 있는 기판으로부터, 약 180 mils 내지 약 2000 mils, 이를 테면 약 900 mils 이격되어 있다. 또한, 프로세스 챔버의 압력은 약 100 milliTorr 내지 약 20 Torr 로 유지된다.
단계(710)에서, 비정질 탄소 재료는, 약 100℃ 또는 그 미만의 기판 온도, 이를 테면 약 -20℃ 내지 약 100℃로 유지되는 기판 온도, 바람직하게는 약 20℃ 내지 약 80℃로 유지되는 온도에서 비정질 탄소 층을 도포(apply)함으로써, 기판 상에 증착된다. 일 실시예에서, 바람직한 비정질 탄소 층은, 아세틸렌을 약 100 sccm(standard cubic centimeters per minute) 내지 약 5,000 sccm, 이를 테면 약 200 sccm의 유량으로 플라즈마 프로세스 챔버에 공급함으로써 증착된다. 또한, 수소 가스가 약 100 sccm 내지 약 2,500 sccm, 이를 테면 약 200 sccm 내지 약 600 sccm의 유량으로 프로세스 챔버에 부가된다.
상기 프로세스 파라미터들은, 저-유전 상수 비정질 탄소 층에 대해, 약 500 Å/min 또는 그 초과의 범위, 이를 테면, 약 1,500 Å/min 내지 약 2,500Å/min의 전형적인 증착 레이트를 제공하며, 그리고 편의를 위해, 실리콘-함유 무기 장벽 층을 증착하기 위한 시스템으로서의, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 통상의 평행-플레이트 무선-주파수(RF) 플라즈마 강화 화학 기상 증착(PECVD) 시스템에서 동일한 또는 상이한 화학 기상 증착 챔버에 대해 구현될 수 있다. 본원에서 제공되는 비정질 탄소 증착 값들은 예시적인 것이며, 본 발명의 범위를 제한하는 것으로 해석되서는 안된다.
증착되는 저-유전 상수 비정질 탄소 재료는, 약 10% 수소 내지 약 60% 수소 범위의 조정가능한 탄소:수소 비율일 수 있는, 탄소 및 수소 원자들을 포함한다. 비정질 탄소 층의 수소 비율을 제어하는 것은, 비정질 탄소 층의 각각의 광학 특성들, 식각 선택성, 및 화학 기계적인 연마 내성 특성들을 튜닝(tuning)하는 데에 있어서 바람직하다. 구체적으로, 수소 함유량이 감소함에 따라, 증착되는 층의 광학 특성들, 예를 들어, 굴절률(n) 및 흡수 계수(k)가 증가한다. 유사하게, 수소 함유량이 감소함에 따라, 비정질 탄소 층의 식각 내성이 증가한다. 본 발명의 실시예들은, 특히, 기판 크기들, 챔버 조건 등에 따라, 본원에서 설명되는 프로세스 파라미터/변수들 중 임의의 프로세스 파라미터/변수를 스케일링업 또는 스케일링다운하는 것을 포함한다는 것이 이해된다. 또한, 본 발명의 실시예들은 본원에서 설명되는 바와 같은 순서대로 단계들이 수행될 것을 요구하지 않는 다는 것이 주목된다. 예를 들어, 전구체들의 혼합물이 챔버 내로 전달되기 전에, 수소 가스가 프로세스 챔버 내로 전달될 수 있으며, 그리고 몇몇 경우들에서, 단계들(704 및 706)은 동시에 수행될 수 있다. 선택적으로, 질소-함유 가스, 이를 테면 질소 가스가, 약 200 sccm 내지 약 5,000 sccm, 이를 테면 약 1,000 sccm 내지 약 2,000 sccm의 유량으로 가스 혼합물 내로 공급된다.
예시들
도 8은 본 발명의 일 실시예에 따른 하나의 예시적인 증착 방법(800)의 흐름도를 도시한다. 단계(802)에서, 실리콘-함유 화합물 및 수소 가스를 이용하여, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들이 기판 프로세싱 시스템에서 기판의 표면 상에 증착된다. 단계(804)에서, 탄소-함유 화합물 및 수소 가스를 이용하여, 동일한 또는 상이한 기판 프로세싱 시스템에서 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들 사이에 하나 또는 그 초과의 비정질 탄소 층들이 증착된다. 바람직하게는, 실리콘-함유 무기 장벽 재료의 초기(initial) 층, 이를 테면 실리콘 질화물 층이 첫번째로 증착되는데, 이는 기판 상의 그리고 그 실리콘 질화물 층 아래의 임의의 층들에 대한 우수한 물 및 산소 장벽으로서 제공된다.
도 9는 하나의 예시적인 장벽 층 및 예시적인 저-유전 상수 재료 층의 광학 투과율을 나타낸다. 예시적인 장벽 층은, PECVD 프로세스 챔버 내로, 각각, 약 150 sccm, 약 400 sccm, 약 1,500 sccm 및 약 4,000 sccm의 유량으로 전달되는, 실란, 암모니아, 질소 가스 및 수소 가스의 혼합물에 의해 증착되는 실리콘 질화물 층이다. 기판은, 약 900 mils의 간격에서 PECVD 프로세스 챔버 내에 배치되었고, 약 2.1 Torr의 압력이 유지되었다. 기판 바이어스의 존재하에서 약 390 초의 증착 시간 기간 동안 약 0.45 watts/cm2의 RF 전력 밀도로부터 플라즈마가 인가되었다. 증착 동안 약 70℃의 기판 온도가 유지되며, 결과적으로, 약 1,700Å/min의 증착 레이트를 초래한다.
예시적인 저-유전 상수 재료 층은, 동일한 PECVD 프로세스 챔버 내로, 각각, 약 200 sccm, 약 1,000 sccm 및 약 500 sccm의 유량으로 전달되는, 아세틸렌, 질소 가스 및 수소 가스의 혼합물에 의해 증착되는 비정질 탄소 층이다. 기판은, 약 900 mils의 간격에서 PECVD 프로세스 챔버 내에 배치되었고, 약 1.5 Torr의 압력이 유지되었다. 기판 바이어스의 존재하에서 약 500 초의 증착 시간 기간 동안 약 0.25 watts/cm2의 RF 전력 밀도로부터 플라즈마가 인가되었다. 증착 동안 약 70℃의 기판 온도가 유지되며, 결과적으로, 약 1,200Å/min의 증착 레이트를 초래한다.
증착된 실리콘 질화물 필름(910) 및 증착된 비정질 탄소 필름(920)의 광 투과율 측정치가 도 9에 도시된다. 상이한 파장들에서 양 필름들에 대한 투과율은 매우 높으며, 평균하여 약 65% 내지 약 100% 이다. 약 500 nm 또는 그 보다 더 큰 높은 파장들에서, 투과율은 훨씬 더 좋았는데, 약 90% 내지 약 100% 광 투과율을 갖는다. 결과들은, 본 발명의 실리콘 질화물 및 비정질 탄소 필름들이, 전면 또는 배면 발광 디스플레이 디바이스들을 포함하는 다양한 적용예들에서 또한 이용될 수 있음을 제안한다.
도 8을 다시 참조하면, 단계(806)에서, 실리콘-함유 무기 장벽 층이 최종 층으로서 선택적으로 증착된다. 단계(806)은, 유사한 특성들을 갖는 캡슐화 층을 생성하기 위해, 단계들(602-606)에 대해 설명된 바와 같이 수행될 수 있다. 따라서, 단계(808)에서, 하나 또는 그 초과의 실리콘-함유 무기 장벽 층들 및 하나 또는 그 초과의 비정질 탄소 층들을 갖는 캡슐화 층이 기판의 표면 상에 증착된다. 그에 따라, 장벽 재료의 1개의 층, 2개의 층들, 3개의 층들, 4개의 층들 또는 5개의 층들을 갖는 다양한 캡슐화 필름들이 증착될 수 있다. 유사하게, 저-유전 상수 재료의 1개의 층, 2개의 층들, 3개의 층들, 4개의 층들 또는 5개의 층들을 갖는 다양한 캡슐화 필름들이 증착될 수 있다.
예를 들어, 실리콘 질화물 재료의 2개의 층들, 3개의 층들, 4개의 층들, 5개의 층들 또는 6개의 층들 각각 사이의, 비정질 탄소 재료의 1개의 층, 2개의 층들, 3개의 층들, 4개의 층들 또는 5개의 층들을 갖는 다양한 캡슐화 필름들이 증착되고 비교/테스트되었다. 또한, 수소 가스의 존재하에서 그리고 수소 가스의 부재하에서 또는 다양한 두께로 증착되는 비정질 탄소 층들 및 실리콘-함유 무기 장벽 층들이 또한 테스트되었다.
실리콘-함유 무기 장벽 층들 및 비정질 탄소 층들을 갖는, 본 발명의 캡슐화 필름들은, 스카치 테이프 필링 테스트(scotch tape peeling test) 및 칼슘 테스트(calcium test)를 이용하여 테스트되었다. 결과들은 매우 양호하였는데, 기판으로부터의 다양한 다중층 캡슐화 필름들의 어떠한 필링(peeling)도 없음을 나타내었으며, 그리고 낮은 레벨의 물 및 산소 부식(corrosion)을 나타내거나 또는 물 및 산소 부식이 전혀 없음을 나타내었다(칼슘 테스트에서, 낮은 레벨의 투명한 칼슘 염(calcium salt) 형성을 나타내거나 또는 투명한 칼슘 염 형성이 전혀 없음을 나타내었다). 본 발명의 캡슐화 필름들은 또한, 이를 테면 OLED 디바이스들과 같은 디바이스들에 대하여, 디바이스들의 표면으로부터 벗겨지지 않으면서 요구되는 두께로 증착되고, 물 및 산소가 디바이스들 내로 침투되는 것을 막으며, 그리고 디바이스 수명을 연장시킬 수 있는 이러한 캡슐화 필름들의 능력에 대해 테스트되었다. 약 60℃ 하에서 그리고 약 85%의 높은 습도에서 테스트될 때, 본 발명의 캡슐화 필름들은 디바이스들의 수명을 약 1440 시간 초과의 시간들로 연장시킬 수 있다.
다중층 캡슐화 필름(1020)이 상부에 증착된 기판(1010)의 단면 주사 전자 현미경(scanning electron microscopy)의 현미경 사진(micrograph)인 도 10에는, 본 발명의 방법들을 이용하여 증착되는 하나의 예시적인 다중층 캡슐화 필름이 나타나있다. 본 발명의 다중층 캡슐화 필름(1020)은, 실리콘 질화물 재료의 접착을 촉진시키기 위해, 4개의 실리콘 질화물 장벽 재료 층들(1011, 1012, 1013, 1014) 및 이러한 실리콘 질화물 재료 사이의 3개의 비정질 탄소 재료 층들(1021, 1022, 1023)을 포함함으로써, 다중층 캡슐화 필름(1020)의 최종 두께가 약 35,000 옹스트롬이 되게 한다. 총 7개의 증착된 재료 층들을 갖는 다중층 캡슐화 필름(1020)의 전체적인 스텝 커버리지는 매우 우수한데, 약 95%의 스텝 커버리지가 얻어졌다.
상기 내용이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예들이 본 발명의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (17)

  1. 캡슐화 필름(encapsulating film) 형성 방법으로서,
    프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 상기 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―;
    상기 프로세싱 챔버 내에 위치된 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 상기 프로세싱 챔버 내에서 상기 가스 혼합물을 활성화하는(energizing) 단계;
    상기 프로세싱 챔버 내의 활성화된 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 가스 혼합물의 존재하에서 상기 기판 상에 무기 캡슐화 필름을 증착하는 단계; 및
    유기 유전체 층 상에 상기 무기 캡슐화 필름을 증착하는 단계
    를 포함하는,
    캡슐화 필름 형성 방법.
  2. 제 1 항에 있어서,
    상기 가스 혼합물을 전달하는 단계는,
    기판 면적(substrate area)의 1550 내지 3115 sccm/m2의 레이트로 상기 실리콘-함유 가스를 전달하는 단계;
    기판 면적의 2360 내지 6140 sccm/m2의 레이트로 상기 제 1 질소-함유 가스를 전달하는 단계; 및
    기판 면적의 9305 내지 15455 sccm/m2의 레이트로 상기 제 2 질소-함유 가스를 전달하는 단계를 포함하는,
    캡슐화 필름 형성 방법.
  3. 제 1 항에 있어서,
    상기 무기 캡슐화 필름을 증착하는 단계는,
    상기 무기 캡슐화 필름 상에 유기 유전체 층을 증착하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  4. 캡슐화 필름 형성 방법으로서,
    프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 상기 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―;
    상기 프로세싱 챔버 내에 위치된 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 상기 프로세싱 챔버 내에서 상기 가스 혼합물을 활성화하는 단계;
    상기 프로세싱 챔버 내의 활성화된 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계; 및
    상기 활성화된 가스 혼합물의 존재하에서 상기 기판 상에 무기 캡슐화 필름을 증착하는 단계를 포함하며,
    상기 가스 혼합물을 전달하는 단계는,
    기판 면적의 1550 내지 3115 sccm/m2의 레이트로 상기 실리콘-함유 가스를 전달하는 단계;
    기판 면적의 2360 내지 6140 sccm/m2의 레이트로 상기 제 1 질소-함유 가스를 전달하는 단계; 및
    기판 면적의 9305 내지 15455 sccm/m2의 레이트로 상기 제 2 질소-함유 가스를 전달하는 단계를 포함하는,
    캡슐화 필름 형성 방법.
  5. 제 4 항에 있어서,
    상기 무기 캡슐화 필름 상에 유기 유전체 층을 증착하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  6. 캡슐화 필름 형성 방법으로서,
    프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 상기 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―;
    상기 프로세싱 챔버 내에 위치된 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 상기 프로세싱 챔버 내에서 상기 가스 혼합물을 활성화하는 단계;
    상기 프로세싱 챔버 내의 활성화된 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 가스 혼합물의 존재하에서 상기 기판 상에 무기 캡슐화 필름을 증착하는 단계; 및
    상기 무기 캡슐화 필름 상에 유기 유전체 층을 증착하는 단계
    를 포함하는,
    캡슐화 필름 형성 방법.
  7. 제 2 항, 제 4 항 또는 제 5 항 중 어느 한 항에 있어서,
    상기 가스 혼합물을 전달하는 단계는,
    기판 면적의 23630 내지 43325 sccm/m2의 레이트로 상기 수소 가스를 전달하는 단계를 포함하는,
    캡슐화 필름 형성 방법.
  8. 제 7 항에 있어서,
    상기 무기 캡슐화 필름은 400 nm의 파장에서 80% 초과의 투명도(transparency)를 갖는,
    캡슐화 필름 형성 방법.
  9. 제 2 항, 제 4 항 또는 제 5 항 중 어느 한 항에 있어서,
    상기 제 2 질소-함유 가스는 N2인,
    캡슐화 필름 형성 방법.
  10. 제 3 항, 제 5 항 또는 제 6 항 중 어느 한 항에 있어서,
    상기 유기 유전체 층 상에 제 2 무기 캡슐화 필름을 증착하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  11. 제 10 항에 있어서,
    상기 무기 캡슐화 필름 및 상기 제 2 무기 캡슐화 필름은 0.8 dynes/cm2의 응력(stress)을 갖는,
    캡슐화 필름 형성 방법.
  12. 제 10 항에 있어서,
    상기 제 2 무기 캡슐화 필름을 증착하는 단계는,
    상기 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함하는 제 2 가스 혼합물을 활성화하는 단계;
    활성화된 제 2 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계; 및
    상기 활성화된 제 2 가스 혼합물의 존재하에서 상기 기판 상에 상기 무기 캡슐화 필름을 증착하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  13. 제 12 항에 있어서,
    상기 제 2 무기 캡슐화 필름을 증착하는 단계는,
    상기 무기 캡슐화 필름 상에 상기 제 2 무기 캡슐화 필름의 일부를 증착하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  14. 제 12 항에 있어서,
    제 2 가스 혼합물을 전달하는 단계는,
    기판 면적의 1550 내지 3115 sccm/m2의 레이트로 상기 실리콘-함유 가스를 전달하는 단계;
    기판 면적의 2360 내지 6140 sccm/m2의 레이트로 상기 제 1 질소-함유 가스를 전달하는 단계; 및
    기판 면적의 9305 내지 15455 sccm/m2의 레이트로 상기 제 2 질소-함유 가스를 전달하는 단계를 포함하는,
    캡슐화 필름 형성 방법.
  15. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 무기 캡슐화 필름은 SiNx를 포함하는,
    캡슐화 필름 형성 방법.
  16. 캡슐화 필름 형성 방법으로서,
    프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 상기 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―;
    상기 프로세싱 챔버 내에 위치된 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 상기 프로세싱 챔버 내에서 상기 가스 혼합물을 활성화하는 단계;
    상기 프로세싱 챔버 내의 활성화된 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 가스 혼합물의 존재하에서 상기 기판 상에 무기 캡슐화 필름을 증착하는 단계;
    상기 무기 캡슐화 필름 상에 유기 유전체 층을 증착하는 단계; 및
    상기 유기 유전체 층 상에 제 2 무기 캡슐화 필름을 증착하는 단계를 포함하고,
    상기 제 2 무기 캡슐화 필름을 증착하는 단계는,
    상기 기판 위쪽에 800 mils 내지 1800 mils 이격된 상기 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함하는 제 2 가스 혼합물을 활성화하는 단계;
    활성화된 제 2 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 제 2 가스 혼합물의 존재하에서 상기 기판 상에 상기 무기 캡슐화 필름을 증착하는 단계;
    기판 면적의 1550 내지 3115 sccm/m2의 레이트로 상기 실리콘-함유 가스를 전달하는 단계;
    기판 면적의 2360 내지 6140 sccm/m2의 레이트로 상기 제 1 질소-함유 가스를 전달하는 단계;
    기판 면적의 9305 내지 15455 sccm/m2의 레이트로 상기 제 2 질소-함유 가스를 전달하는 단계; 및
    기판 면적의 23630 내지 43325 sccm/m2의 레이트로 상기 수소 가스를 전달하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.
  17. 캡슐화 필름 형성 방법으로서,
    프로세싱 챔버 내로 가스 혼합물을 전달하는 단계 ― 상기 가스 혼합물은 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함함 ―;
    상기 프로세싱 챔버 내에 위치된 기판 위쪽에 800 mils 내지 1800 mils 이격된 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 상기 프로세싱 챔버 내에서 상기 가스 혼합물을 활성화하는 단계;
    상기 프로세싱 챔버 내의 활성화된 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 가스 혼합물의 존재하에서 상기 기판 상에 무기 캡슐화 필름을 증착하는 단계;
    유기 유전체 층 상에 상기 무기 캡슐화 필름을 증착하는 단계; 및
    상기 유기 유전체 층 상에 제 2 무기 캡슐화 필름을 증착하는 단계를 포함하고,
    상기 제 2 무기 캡슐화 필름을 증착하는 단계는,
    상기 기판 위쪽에 800 mils 내지 1800 mils 이격된 상기 가스 분배 플레이트 어셈블리에 0.350 watts/cm2 내지 0.903 watts/cm2를 인가함으로써, 실리콘-함유 가스, 제 1 질소-함유 가스, 제 2 질소-함유 가스 및 수소 가스를 포함하는 제 2 가스 혼합물을 활성화하는 단계;
    활성화된 제 2 가스 혼합물을 0.5 Torr 내지 3.0 Torr의 압력으로 유지하는 단계;
    상기 활성화된 제 2 가스 혼합물의 존재하에서 상기 기판 상에 상기 무기 캡슐화 필름을 증착하는 단계;
    기판 면적의 1550 내지 3115 sccm/m2의 레이트로 상기 실리콘-함유 가스를 전달하는 단계;
    기판 면적의 2360 내지 6140 sccm/m2의 레이트로 상기 제 1 질소-함유 가스를 전달하는 단계;
    기판 면적의 9305 내지 15455 sccm/m2의 레이트로 상기 제 2 질소-함유 가스를 전달하는 단계; 및
    기판 면적의 23630 내지 43325 sccm/m2의 레이트로 상기 수소 가스를 전달하는 단계를 더 포함하는,
    캡슐화 필름 형성 방법.

KR1020147024409A 2012-02-15 2013-02-15 캡슐화 필름을 증착하기 위한 방법 KR101539635B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261599364P 2012-02-15 2012-02-15
US61/599,364 2012-02-15
PCT/US2013/026492 WO2013123431A1 (en) 2012-02-15 2013-02-15 Method for depositing an encapsulating film

Publications (2)

Publication Number Publication Date
KR20140129075A KR20140129075A (ko) 2014-11-06
KR101539635B1 true KR101539635B1 (ko) 2015-07-27

Family

ID=48945906

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147024409A KR101539635B1 (ko) 2012-02-15 2013-02-15 캡슐화 필름을 증착하기 위한 방법

Country Status (6)

Country Link
US (1) US8901015B2 (ko)
JP (1) JP6082032B2 (ko)
KR (1) KR101539635B1 (ko)
CN (1) CN104115300B (ko)
TW (1) TWI506162B (ko)
WO (1) WO2013123431A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101842586B1 (ko) * 2011-04-05 2018-03-28 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조 방법
US9299956B2 (en) * 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
JP6441827B2 (ja) 2013-03-07 2018-12-19 スイッチ マテリアルズ インコーポレイテッドSwitch Materials Inc. 封止されたスイッチング可能なフィルム、およびスイッチング可能なフィルムを封止するための方法
KR102036327B1 (ko) * 2013-03-27 2019-11-27 삼성디스플레이 주식회사 유기발광장치 및 그 제조방법
WO2015089663A1 (en) * 2013-12-19 2015-06-25 Switch Materials Inc. Switchable objects and methods of manufacture
US9947894B2 (en) 2014-05-16 2018-04-17 Sharp Kabushiki Kaisha Sealing film, organic el element, and organic el display device
TWI545827B (zh) * 2014-05-23 2016-08-11 群創光電股份有限公司 有機發光二極體顯示面板
KR20160036722A (ko) * 2014-09-25 2016-04-05 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
JP6584162B2 (ja) * 2015-06-22 2019-10-02 東京エレクトロン株式会社 積層封止膜形成方法および形成装置
US10163859B2 (en) 2015-10-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method for chip package
US11751426B2 (en) * 2016-10-18 2023-09-05 Universal Display Corporation Hybrid thin film permeation barrier and method of making the same
US20190097175A1 (en) * 2017-09-28 2019-03-28 Applied Materials, Inc. Thin film encapsulation scattering layer by pecvd
US10957543B2 (en) * 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
CN112106169A (zh) 2018-05-03 2020-12-18 应用材料公司 用于基座的rf接地配置
KR20210143951A (ko) * 2019-04-25 2021-11-29 어플라이드 머티어리얼스, 인코포레이티드 낮은 굴절률 및 낮은 수증기 투과율을 갖는 수분 배리어 막
CN111029479A (zh) * 2019-11-13 2020-04-17 武汉华星光电半导体显示技术有限公司 显示面板、显示装置以及显示面板的制造方法
WO2022174932A1 (en) * 2021-02-22 2022-08-25 Applied Materials, Inc. Apparatus, system and method for curing ink printed on a substrate
TWI782762B (zh) * 2021-10-21 2022-11-01 天虹科技股份有限公司 擴散機構及應用該擴散機構的薄膜沉積機台

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504114A (ja) * 2004-06-25 2008-02-14 アプライド マテリアルズ インコーポレイテッド カプセル化膜の遮水性能の改善

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191342A1 (en) * 1999-10-25 2009-07-30 Vitex Systems, Inc. Method for edge sealing barrier films
US6743524B2 (en) 2002-05-23 2004-06-01 General Electric Company Barrier layer for an article and method of making said barrier layer by expanding thermal plasma
CN1259710C (zh) 2002-09-11 2006-06-14 联华电子股份有限公司 在低介电材料层与内连线间形成阻障层的方法
US7086918B2 (en) * 2002-12-11 2006-08-08 Applied Materials, Inc. Low temperature process for passivation applications
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
JP2007030387A (ja) * 2005-07-28 2007-02-08 Fujifilm Corp バリア性フィルム基板およびそれを用いた有機電界発光素子
US20080006819A1 (en) 2006-06-19 2008-01-10 3M Innovative Properties Company Moisture barrier coatings for organic light emitting diode devices
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
JP2009221541A (ja) * 2008-03-17 2009-10-01 Fujifilm Corp 無機層の真空成膜法、バリア性積層体、デバイスおよび光学部材
KR20100087514A (ko) 2009-01-28 2010-08-05 한국산업기술대학교산학협력단 유기 전기 발광 다이오드의 제조장치 및 제조방법
KR101097321B1 (ko) * 2009-12-14 2011-12-23 삼성모바일디스플레이주식회사 유기 발광 장치 및 이의 제조 방법
KR101106173B1 (ko) 2010-06-16 2012-01-20 한국기계연구원 유기태양전지용 다층박막봉지 및 이의 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504114A (ja) * 2004-06-25 2008-02-14 アプライド マテリアルズ インコーポレイテッド カプセル化膜の遮水性能の改善

Also Published As

Publication number Publication date
CN104115300B (zh) 2017-02-22
KR20140129075A (ko) 2014-11-06
US8901015B2 (en) 2014-12-02
US20130210199A1 (en) 2013-08-15
TWI506162B (zh) 2015-11-01
WO2013123431A1 (en) 2013-08-22
JP6082032B2 (ja) 2017-02-15
JP2015513609A (ja) 2015-05-14
CN104115300A (zh) 2014-10-22
TW201341580A (zh) 2013-10-16

Similar Documents

Publication Publication Date Title
KR101539635B1 (ko) 캡슐화 필름을 증착하기 위한 방법
US7504332B2 (en) Water-barrier performance of an encapsulating film
US7183197B2 (en) Water-barrier performance of an encapsulating film
US7214600B2 (en) Method to improve transmittance of an encapsulating film
Wu et al. Efficient multi-barrier thin film encapsulation of OLED using alternating Al 2 O 3 and polymer layers
KR101089715B1 (ko) 다층 박막형 봉지막 및 이의 제조방법
US9449809B2 (en) Interface adhesion improvement method
TWI303667B (en) Method and apparatus of depositing low temperature inorganic films on plastic substrates
US20070132375A1 (en) Electronic device comprising a protective barrier layer stack
KR102333217B1 (ko) 개선된 박막 캡슐화

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant