KR101291017B1 - 유전체 막을 처리하기 위한 처리 시스템 및 처리 방법 - Google Patents

유전체 막을 처리하기 위한 처리 시스템 및 처리 방법 Download PDF

Info

Publication number
KR101291017B1
KR101291017B1 KR1020087013821A KR20087013821A KR101291017B1 KR 101291017 B1 KR101291017 B1 KR 101291017B1 KR 1020087013821 A KR1020087013821 A KR 1020087013821A KR 20087013821 A KR20087013821 A KR 20087013821A KR 101291017 B1 KR101291017 B1 KR 101291017B1
Authority
KR
South Korea
Prior art keywords
dielectric film
curing
radiation source
radiation
substrate
Prior art date
Application number
KR1020087013821A
Other languages
English (en)
Other versions
KR20080067002A (ko
Inventor
준준 리우
에릭 엠 리
도렐 엘 토마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080067002A publication Critical patent/KR20080067002A/ko
Application granted granted Critical
Publication of KR101291017B1 publication Critical patent/KR101291017B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/062Pretreatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Solid Materials (AREA)

Abstract

본 발명은, 시스템이 유전체 막에서의 수분과 같은 오염물의 양을 감소시키도록 구성된 건조 시스템을 포함하는 것인, 유전체 막을 경화하기 위한 다단 시스템 및 방법에 관한 것이다. 본 시스템은, 건조 시스템에 연결되며, 유전체 막을 경화하기 위하여 자외(UV) 방사 및 적외(IR) 방사로 유전체 막을 처리하도록 구성된 경화 시스템을 더 포함한다.

Description

유전체 막을 처리하기 위한 처리 시스템 및 처리 방법 {MULTI-STEP SYSTEM AND METHOD FOR CURING A DIELECTRIC FILM}
본 발명은 유전체 막을 처리하기 위한 다단계 시스템 및 방법, 특히, 유전체 막을 건조하고 경화하기 위한 인 시츄(in-situ) 다단계 시스템 및 방법에 관한 것이다.
반도체 분야의 당업자에게 알려져 있듯이, 인터커넥트 지연(interconnect delay)은 집적 회로(IC)의 속도 및 성능을 개선시키기 위한 드라이브 내의 주요 제한 인자이다. 인터커넥트 지연을 최소화하는 하나의 방법은, IC 장치 내 금속 와이어를 위한 절연 유전체로서 저 유전 상수(low-k) 재료를 사용함으로써 인터커넥트 커패시턴스를 줄이는 것이다. 이와 같이, 최근 몇 년간, 이산화규소와 같은 비교적 높은 유전 상수 절연 재료를 대체하기 위해 저 유전 상수 재료가 개발되어 왔다. 특히, 반도체 장치 내 금속 와이어 간의 인터레벨 및 인트라레벨 유전체 층(inter-level and intra-level dielectric layers)에 대하여 저 유전 상수 막이 이용되고 있다. 또한, 절연 재료의 유전 상수를 더 줄이기 위해서, 재료 막에 구멍이 형성되며, 즉 이것은 다공성 저 유전 상수 유전체 막이다. 이러한 저 유전 상수 막은 포토레지스트의 적용과 유사한 스핀-온 유전체(SOD) 방법, 또는 화학적 기상 증착(CVD)에 의해 증착될 수 있다. 따라서, 저 유전 상수 재료의 사용은 기존의 반도체 제조 공정에 용이하게 적용될 수 있다.
저 유전 상수 재료는 보다 종래의 이산화규소보다 덜 강하고, 다공성의 도입으로 그 기계적 강도는 더 악화된다. 상기 다공성 저 유전 상수 막은 플라즈마 공정 동안 쉽게 손상될 수 있어, 기계적 강화 공정을 요하게 한다. 다공성 저 유전 상수 유전체의 성공적인 집적화를 위해, 저 유전 상수 유전체의 재료 강도의 개선이 필수적이라는 것이 이해되어 왔다. 기계적 강화를 위해서, 다공성 저 유전 상수 막을 더욱 강하게 하고 집적화에 적합하도록 하는 경화 기술이 연구되고 있다.
중합체의 경화는, 예컨대 스핀-온 또는 기상 증착(화학적 기상 증착(CVD)과 같은)을 사용하여 증착된 박막이 그 박막 내에 교차 결합(cross-linking)을 유발시키기 위하여 처리되는 공정을 포함한다. 경화 공정 동안에, 프리 라디컬 중합(free radical polymerization)이 교차 결합을 위한 주요 루트라는 것이 이해된다. 중합체가 교차 결합을 엮기(chain) 때문에, 예컨대 영률, 막 경도, 파괴 인성, 및 계면 부착성과 같은 기계적 특성이 개선되고, 그로 인하여 저 유전 상수 막의 제조 견고성을 개선시킨다.
초 저 유전 상수를 갖는 다공성 유전체 막을 형성하는 데에는 여러가지 전략이 있기 때문에, 예컨대 수분 제거, 용매 제거, 다공성 유전체 막에 구멍을 형성하기 위해 사용되는 포로겐(porogens)의 소진(burn-out), 이러한 막에 대한 기계적 특성의 개선 등을 포함하여, 증착후 처리(경화)의 목적은 막마다 상이할 수도 있다.
저 유전 상수(low-k) 재료는 CVD 막에 대해 종래에는 300℃ 내지 400℃의 온도에서 열 경화된다. 예를 들어, 노 경화는 약 2.5 보다 큰 유전 상수를 갖는 강하고 고밀도의 저 유전 상수 막을 생산하는 데 충분하였다. 그러나, 높은 레벨의 다공성을 갖는 다공성 유전체 막(초 저 유전 상수 막과 같은)을 처리할 때, 열 처리(또는 열 경화)로 달성할 수 있는 교차 결합도는, 강고한 인터커넥트 구조에 대하여 적합한 강도의 막을 생성시키는 데 더 이상 충분하지 않다.
열 경화 동안에, 적절량의 에너지가 유전체 막을 파손시키지 않고 전달되는 것을 알게 되었다. 그러나, 관심있는 온도 범위 내에서는, 소량의 프리 라디컬만이 생성될 수 있다. 기판으로의 열 결합에서 손실되는 열 에너지 및 대기 중 열 손실 때문에, 소량의 열 에너지만이 경화될 저 유전 상수 막에 실제로 흡수될 수 있다. 그러므로, 종래의 저 유전 상수 노 경화에 고온 및 긴 경화 시간이 요구된다. 그러나 높은 열적 버짓(budget)으로도, 열 경화시 기폭제 생성의 부족 및 증착된 상태(as-deposited)인 저 유전 상수 막에서의 다량의 메틸 말단(termination)의 존재는 바람직한 교차 결합도를 달성하는 것을 상당히 어렵게 할 수 있다.
본 발명의 일 태양은, 유전체 막을 처리함에 관한 종래 기술에서의 상술된 문제점 또는 다른 문제점들 중 임의 문제점의 감소 또는 제거를 가능하게 한다.
본 발명의 또 다른 태양은, 유전체 막을 경화하기 위해서 그 유전체 막의 처리를 가능하게 한다.
본 발명의 또 다른 태양은, 서로 연결된 다수 공정 모듈을 사용하는 인 시츄 다단계 건조 및 경화 공정을 수행함으로써 유전체 막의 처리를 가능하게 한다.
이들 및/또는 다른 태양 중 임의의 것은, 본 발명에 따라 유전체 막을 처리하기 위한 처리 시스템에 의해 제공될 수도 있다. 일 실시예에서, 기판 상의 유전체 막을 처리하기 위한 처리 시스템은, 유전체 막 내부 또는 그 막 상의 오염물의 양을 줄이기 위해 건조 공정을 수행하도록 구성된 건조 시스템, 및 이 건조 시스템에 연결되고 경화 공정을 수행하도록 구성된 경화 시스템을 포함한다. 상기 경화 시스템은, 상기 유전체 막을 자외(UV) 방사에 노출시키도록 구성된 자외 방사원, 및 상기 유전체 막을 적외(IR) 방사에 노출시키도록 구성된 적외 방사원을 포함한다. 본 처리 시스템은 상기 건조 시스템과 상기 경화 시스템에 연결된 전달 시스템을 포함한다. 상기 전달 시스템은 진공 상태 하에 상기 건조 시스템과 상기 경화 시스템 간에 상기 기판을 교환하도록 구성된다.
또 다른 실시예에서, 기판 상의 유전체 막을 처리하기 위한 방법 및 컴퓨터 판독 가능한 매체는, 건조 시스템 내에 상기 기판을 배치하는 단계, 상기 유전체 막 상 또는 내부의 오염물을 제거 또는 부분 제거를 하기 위하여 건조 공정에 따라 상기 유전체 막을 건조하는 단계, 전달 동안 진공 상태를 유지하면서, 상기 건조 시스템으로부터 경화 시스템으로 상기 기판을 전달하는 단계, 및 상기 유전체 막을 UV 방사에 노출시키고, 상기 유전체 막을 IR 방사에 노출시킴으로써 상기 유전체 막을 경화시키는 단계를 포함한다.
도 1a 내지 도 1c는 본 발명의 실시예에 따른 건조 시스템 및 경화 시스템에 대한 전달 시스템의 개략도.
도 2는 본 발명의 또 다른 실시예에 따른 건조 시스템의 개략 단면도.
도 3은 본 발명의 또 다른 실시예에 따른 경화 시스템의 개략 단면도.
도 4는 본 발명의 또 다른 실시예에 따른 유전체 막을 처리하는 방법의 흐름도.
다음 설명에서는, 본 발명의 완전한 이해를 용이하게 하기 위해서, 또한 설명을 목적으로 하나 제한적이지 않게, 본 처리 시스템의 특수한 기하학 및 여러가지 구성 요소들의 설명과 같은 특정한 상세가 나타난다. 그러나, 본 발명은 이들 특정 상세로부터 벗어난 다른 실시예에서 실시될 수도 있음이 이해되어야 한다.
발명자들은, 대안적인 경화 방법이 열 경화의 결함의 일부를 처리한다는 것을 인식하였다. 예를 들면, 대안적인 경화 방법은 열 경화 공정에 비하여 에너지 전달에 더욱 효율적이고, 가속된 전자, 이온, 또는 중성자와 같은 활동(energetic) 입자의 형태 또는 활동 광자의 형태로 발견된 보다 높은 에너지 레벨이 저 유전 상수 막 내에서 전자들을 쉽게 여기시킬 수 있기 때문에, 효율적으로 화학 결합을 파괴시키고 사이드 그룹(side groups)을 해리시킨다. 이들 대안적인 경화 방법은, 교차 결합 기폭제(프리 라디컬)의 생성을 용이하게 하여, 실제 교차 결합에 필요한 에너지 전달을 개선시킬 수 있다. 결과적으로, 감소된 열적 버짓으로 교차 결합도가 증가될 수 있다.
또한, 발명자들은, 초 저 유전 상수(ULK) 유전체 막(약 2.5 미만의 유전 상 수)의 집적화에 대해 막 강도는 더 큰 문제가 되기 때문에, 대안적인 경화 방법이 이러한 막의 기계적 특성을 개선시킬 수 있다는 것을 알게 되었다. 예를 들면, 전자 빔(EB), 자외(UV) 방사, 적외(IR) 방사 및 마이크로파(MW) 방사가, 유전체 특성 및 막 소수성(hydrophobicity)을 희생시키지 않으면서, 기계적 강도를 개선시키기 위해 초 저 유전 상수 막을 경화시키는데 사용될 수도 있다.
그러나, EB, UV, IR, 및 MW 경화 모두 자신들의 장점이 있지만, 이들 기술은 또한 한계를 갖는다. EB 및 UV 경화와 같은 고 에너지 경화원은, 높은 에너지 레벨을 제공하여 교차 결합에 대해 충분한 프리 라디컬보다 많은 프리 라디컬을 생성할 수 있어, 보완적인 기판 가열 하에서 기계적 특성을 훨씬 개선시킨다. 한편, 전자 및 UV 광자는, 화학 결합의 무차별 해리를 야기할 수 있고, 이것은, 소수성의 손실, 증가된 잔류막 응력, 구멍 구조의 붕괴, 막 치밀화, 및 증가된 유전 상수와 같은 바람직한 물리적 및 전기적 특성을 역으로 떨어뜨릴 수도 있다. 또한, IR 및 MW 경화와 같은 저 에너지 경화원은, 대개 열 전달 효율성에서 상당한 개선을 제공할 수 있지만, 한편으로 예컨대 스킨층 또는 표면 치밀화(IR), 및 아크화 또는 트랜지스터 손상(MW)과 같은 부작용을 가질 수 있다.
몇몇 도면들 전체에 걸쳐 동일 참조 번호가 동일 또는 대응 부분을 나타내고 있는 도면을 참조하여, 본 발명의 일 실시예에 따라, 도 1a는 기판 상의 유전체 막을 처리하기 위한 처리 시스템(1)을 나타낸다. 본 처리 시스템(1)은 건조 시스템(10), 및 이 건조 시스템(10)에 연결된 경화 시스템(20)을 포함한다. 예를 들면, 상기 건조 시스템(10)은, 예컨대 수분, 용매, 포로겐, 또는 상기 경화 시스 템(20)에서 수행되는 경화 공정을 방해할 수도 있는 임의의 오염물을 포함하는 유전체 막 내의 하나 이상의 오염물을 제거하거나 충분한 레벨로 감소시키도록 구성될 수 있다.
예를 들면, 건조 공정 이전부터 건조 공정 이후까지, 상기 유전체 막 내부에 존재하는 특정 오염물의 충분한 감소는, 특정 오염물의 약 10% 내지 약 100%의 감소를 포함할 수 있다. 오염물 감소 레벨은 푸리에 변환 적외(FTIR) 분광기 또는 질량 분광기를 사용하여 측정될 수도 있다. 다른 방안으로, 예를 들면, 상기 유전체 막 내부에 존재하는 특정 오염물의 충분한 감소는 약 50% 내지 약 100%의 범위일 수 있다. 다른 방안으로, 예를 들면, 상기 유전체 막 내부에 존재하는 특정 오염물의 충분한 감소는 약 80% 내지 약 100%의 범위일 수 있다.
도 1a을 더 참조하여, 예를 들면 상기 유전체 막의 기계적 특성을 개선시키기 위해서, 상기 경화 시스템(20)은 상기 유전체 막 내부에 교차 결합을 발생시키거나 부분 발생시킴으로써 상기 유전체 막을 경화하도록 구성될 수 있다. 상기 경화 시스템(20)은 상기 유전체 막을 갖는 기판을 다중 전자기(EM) 파장에서의 전자기 방사에 노출시키도록 구성된 2 이상의 방사원을 포함할 수 있다. 예를 들면, 2 이상의 방사원은 적외(IR) 방사원 및 자외(UV) 방사원을 포함할 수 있다. 상기 기판의 UV 방사 및 IR 방사로의 노출은 동시적으로, 순차적으로, 또는 서로 중복하여 수행될 수 있다. 순차적 노출 동안에, 예컨대 UV 방사로의 기판의 노출이 IR 방사로의 기판의 노출보다 앞서 진행될 수 있고, 그 역으로도 진행될 수 있다.
예를 들면, 상기 IR 방사는 약 1 미크론 내지 약 25 미크론 범위, 바람직하게는 약 8 미크론 내지 약 14 미크론 범위의 IR 파장대원을 포함할 수 있다. 또한, 예를 들면, 상기 UV 방사는 약 100 나노미터(nm) 내지 약 600 nm 범위, 바람직하게는 약 200 nm 내지 약 400 nm 범위의 방사를 생성하는 UV 파장대원을 포함할 수 있다.
발명자들은, 에너지가 상기 유전체 막(q')에 전달되는 에너지 레벨(hv) 및 속도는 경화 공정의 상이한 스테이지 동안 변한다는 것을 인식하였다. 상기 경화 공정은 교차 결합 기폭제의 생성, 포로겐의 소진, 포로겐의 분해, 막 교차 결합, 및 선택적으로는 교차 결합 기폭제 확산에 대한 메커니즘을 포함할 수 있다. 각각의 메커니즘은 에너지가 유전체 막에 전달되는 상이한 에너지 레벨과 속도를 요할 수도 있다. 예를 들면, 매트릭스 재료를 경화하는 동안에, 교차 결합 기폭제는 매트릭스 재료 내부에서 광자 및 음자 유도 결합 해리를 사용하여 생성될 수도 있다. 결합 해리는 약 300 nm 내지 400 nm 이하의 파장을 갖는 에너지 레벨을 필요로 할 수 있다. 또한, 예를 들면, 포로겐 소진은 광감작제(photosensitizer)에 의해서 광자 흡수로 용이하게 될 수도 있다. 포로겐 소진은 약 300 nm 내지 400 nm 이하의 파장과 같은 UV 파장을 필요로 할 수도 있다. 또한, 예를 들면, 교차 결합은 결합 형성 및 재조직에 충분한 열 에너지에 의해 용이하게 될 수 있다. 결합 형성 및 재조직은, 예컨대 실록산계 유기규산염의 저 유전 상수 재료에서의 주 흡광도 피크에 대응하는 약 9 미크론의 파장을 갖는 에너지 레벨을 필요로 할 수도 있다.
상기 처리 대상 기판은, 반도체, 금속성 도체, 또는 상기 유전체 막이 형성 될 임의의 다른 기판일 수도 있다. 상기 유전체 막은 약 4 인 SiO2의 유전 상수(예를 들면, 열적 이산화규소에 대한 유전 상수는 3.8 내지 3.9 임) 미만의 유전 상수 값(건조 및/또는 경화 전, 또는 건조 및/또는 경화 후, 또는 모두)을 가질 수 있다. 본 발명의 여러가지 실시예에서, 상기 유전체 막은 3.0 미만의 유전 상수, 2.5 미만의 유전 상수, 또는 1.6 내지 2.7 의 유전 상수(건조 및/또는 경화 전, 또는 건조 및/또는 경화 후, 또는 모두)를 가질 수도 있다. 상기 유전체 막은 저 유전 상수 막 또는 초 저 유전 상수 막으로서 나타낼 수도 있다. 유전체 막은, 예를 들면, 포로겐 소진 후보다 소진 이전에 더 높은 유전 상수를 가질 수도 있는 이중 상(dual phase) 다공성 저 유전 상수 막을 포함할 수도 있다. 또한, 상기 유전체 막은 건조 및/또는 경화 후보다 건조 및/또는 경화 이전에 상기 유전 상수를 더 높이는 수분 및/또는 다른 오염물을 가질 수도 있다.
상기 유전체 막은 Tokyo Electron Limited(TEL)로부터 상업적으로 입수 가능한 Clean Track ACT 8 SOD 및 ACT 12 SOD 코팅 시스템에서 제공된 것과 같은 화학적 기상 증착(CVD) 기술, 또는 스핀-온 유전체(SOD) 기술을 사용하여 형성될 수 있다. 상기 Clean Track ACT 8(200 mm) 및 ACT 12(300 mm) 코팅 시스템은 SOD 재료에 대한 코팅, 베이킹, 및 경화 툴을 제공한다. 상기 트랙 시스템은 100 mm, 200 mm, 300 mm 이상의 기판 사이즈를 처리하기 위해 구성될 수 있다. 스핀-온 유전체 기술과 CVD 유전체 기술 분야의 당업자에게 알려져 있듯이, 기판 상에 유전체 막을 형성하기 위한 다른 시스템 및 방법은 본 발명에 적합하다.
예를 들면, 상기 유전체 막은 저 유전 상수(또는 low-k) 유전체 막으로서 특징이 있다. 상기 유전체 막은 유기, 무기, 및 무기-유기 혼합 재료 중 적어도 하나를 포함할 수도 있다. 또한, 상기 유전체 막은 다공성 또는 비다공성일 수도 있다. 예를 들면, 상기 유전체 막은, CVD 기술을 사용하여 증착된, 산화유기실란(또는 유기실록산)과 같은 무기의 규산염계 재료를 포함할 수도 있다. 이러한 막의 예는, Applied Materials, Inc.로부터 상업적으로 입수 가능한 Black DiamondTM CVD 유기규산염 유리(OSG) 막, 또는 Novellus Systems로부터 상업적으로 입수 가능한 CoralTM CVD 막을 포함한다. 또한, 예를 들면, 다공성 유전체 막은, 작은 공동(또는 구멍)을 생성하기 위해 경화 공정 동안 교차 결합을 방해하는 말단 유기 사이드(terminal organic side) 그룹을 갖는 실리콘 산화계 매트릭스와 같은, 단상(single-phase) 재료를 포함할 수 있다. 또한, 예를 들면, 다공성 유전체 막은, 경화 공정 동안 분해되어 증발되는 유기 재료(예, 포로겐)의 함유물을 포함한 실리콘 산화물계 매트릭스와 같은 이중 상 재료를 포함할 수 있다. 대안적으로, 유전체 막은 SOD 기술을 사용하여 증착된 수소 실세스퀴옥산(HSQ) 또는 메틸 실세스퀴옥산(MSQ)과 같은 무기의 규산염계 재료를 포함할 수도 있다. 이러한 막의 예에는, Dow Corning으로부터 상업적으로 입수 가능한 FOx HSQ, Dow Corning으로부터 상업적으로 입수 가능한 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 입수 가능한 JSR LKD-5109를 포함한다. 또한 대안적으로, 상기 유전체 막은 SOD 기술을 사용하여 증착된 유기 재료를 포함할 수 있다. 이러한 막의 예는, Dow Chemical로부터 상업적으로 입수 가능한 SiLK-I, SiLK-J, SiLK-H, SiLK-D, 다공성 SiLK-T, 다공성 SiLK-Y 및 다공성 SiLK-Z 반도체 유전체 수지, 및 FLARETM 및 Honeywell로부터 상업적으로 입수 가능한 Nano-glass를 포함한다.
또한, 도 1a에서 도시되어 있는 바와 같이, 건조 시스템(10) 및 경화 시스템(20)으로 및 이들 시스템으로부터 기판을 전달하고, 다중 요소 제조 시스템(40)과 기판을 교환하기 위하여, 건조 시스템(10)에 전달 시스템(30)이 연결될 수 있다. 진공 환경을 유지하면서, 전달 시스템(30)은 기판을 건조 시스템(10) 및 경화 시스템(20)으로 및 이들 시스템으로부터 전달할 수도 있다. 상기 건조 시스템(10), 경화 시스템(20), 및 전달 시스템(30)은, 예를 들면 다중 요소 제조 시스템(40) 내의 처리 요소를 포함한다. 예를 들면, 상기 다중 요소 제조 시스템(40)은, 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템(metrology system)과 같은 장치를 포함하는 처리 요소로의 및 이 처리 요소로부터의 기판의 전달을 허용할 수 있다. 제 1 및 제 2 시스템에서 일어나는 공정을 분리하기 위하여, 분리 어셈블리(50)가 각각의 시스템을 연결하는데 이용될 수 있다. 예를 들면, 상기 분리 어셈블리(50)는 열적 분리를 제공하는 열 분리 어셈블리, 및 진공 분리를 제공하는 게이트 벨브 어셈블리 중 적어도 하나를 포함할 수 있다. 상기 건조 시스템(10), 경화 시스템(20) 및 전달 시스템(30)은 임의 순서로도 배치될 수 있다.
대안적으로, 본 발명의 또 다른 실시예에서, 도 1b는 기판 상의 유전체 막을 처리하기 위한 처리 시스템(100)을 나타내고 있다. 그 처리 시스템(100)은 건조 시스템(110) 및 경화 시스템(120)을 위한 "클러스터-툴(cluster-tool)" 장치를 포함한다. 예를 들면, 상기 건조 시스템(110)은 상기 유전체 막 내부의 하나 이상의 오염물, 예컨대 수분, 용매, 포로겐, 또는 상기 경화 시스템(120)에서 수행되는 경화 공정을 방해할 수도 있는 임의의 다른 오염물을 제거하거나 충분한 레벨로 감소시키도록 구성될 수 있다. 또한, 예를 들면, 상기 유전체 막의 기계적 특성을 개선시키기 위해서, 예컨대 상기 경화 시스템(120)은 상기 유전체 막 내부에 교차 결합을 발생시키거나 부분 발생시킴으로써 상기 유전체 막을 경화하도록 구성될 수 있다. 또한, 상기 처리 시스템(100)은 경화된 유전체 막을 변경하도록 구성된 후처리 시스템(140)을 선택적으로 포함할 수 있다. 예를 들면, 후처리는, 유전체 막 상에 다른 막을 스핀 코팅하거나 기상 증착하는 것을 포함하여, 후속 막에 대한 부착성을 촉진시키거나 소수성을 향상시킬 수 있다. 대안적으로, 예를 들면, 후처리 시스템에서 이온으로 상기 유전체 막을 가볍게 충돌시킴으로써 부착성 촉진이 달성될 수도 있다.
또한, 도 1b에서 도시한 바와 같이, 전달 시스템(130)은, 상기 건조 시스템(110)으로 및 이 시스템으로부터 기판을 전달하기 위해서 상기 건조 시스템(110)에 연결될 수 있고, 상기 경화 시스템(120)으로 및 이 시스템으로부터 기판을 전달시키기 위해서 상기 경화 시스템(120)에 연결될 수 있으며, 상기 후처리 시스템(140)으로 및 이 시스템으로부터 기판을 전달시키기 위해서 상기 선택적인 후처리 시스템(140)에 연결될 수 있다. 진공 환경을 유지하면서, 상기 전달 시스템(130)은 기판을 상기 건조 시스템(110), 경화 시스템(120) 및 선택적인 후처리 시스템(140)으로 및 이들 시스템으로부터 전달할 수도 있다.
또한, 상기 전달 시스템(130)은 하나 이상의 기판 카세트(도시되지 않음)와 기판을 교환할 수 있다. 도 1b에 단지 둘 또는 세 개의 공정 시스템이 도시되었으나, 다른 공정 시스템, 예컨대 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 시스템이 상기 전달 시스템(130)에 액세스할 수 있다. 상기 건조 및 경화 시스템에서 일어나는 공정을 분리하기 위해서, 분리 어셈블리(150)가 각 시스템을 연결하는데 이용될 수 있다. 예를 들면, 상기 분리 어셈블리(150)는 열 분리를 제공하는 열 분리 어셈블리, 및 진공 분리를 제공하는 게이트 벨브 어셈블리 중 적어도 하나를 포함할 수 있다. 또한, 예를 들면, 상기 전달 시스템(130)은 상기 분리 어셈블리(150)의 일부로서 기능할 수 있다.
대안적으로, 본 발명의 또 다른 실시예에서, 도 1c는 기판 상의 유전체 막을 처리하기 위한 처리 시스템(200)을 나타내고 있다. 처리 시스템(200)은 건조 시스템(210) 및 경화 시스템(220)을 포함한다. 예를 들면, 상기 건조 시스템(210)은 상기 유전체 막 내부의 하나 이상의 오염물, 예컨대 수분, 용매, 포로겐, 또는 상기 경화 시스템(220)에서 수행되는 경화 공정을 방해할 수도 있는 임의의 다른 오염물을 제거하거나 충분한 레벨로 제거하도록 구성될 수 있다. 또한, 예를 들면, 상기 유전체 막의 기계적 특성을 개선시키기 위해서, 상기 경화 시스템(220)은 상기 유전체 막 내부에 교차 결합을 발생시키거나 부분 발생시킴으로써 상기 막을 경화하도록 구성될 수 있다. 또한, 상기 처리 시스템(200)은 경화된 유전체 막을 변경하기 위해 구성된 후처리 시스템(240)을 선택적으로 포함할 수 있다. 예를 들면, 후처리는 유전체 막 상에 다른 막을 스핀 코팅하거나 기상 증착하는 것을 포함할 수 있어, 후속 막에 대한 부착성을 촉진시키거나 소수성을 향상시킨다. 대안적으로, 예를 들면, 후처리 시스템에서 이온으로 상기 유전체 막을 가볍게 충돌시킴으로써 부착성 촉진이 달성될 수 있다.
상기 건조 시스템(210), 경화 시스템(220), 및 후처리 시스템(240)은 수평 배열 또는 수직 배열(즉, 스택됨)될 수도 있다. 또한, 도 1c 에서 도시한 바와 같이, 전달 시스템(230)은, 상기 건조 시스템(210)으로 및 이 시스템으로부터 기판을 전달시키기 위해서 상기 건조 시스템(210)에 연결될 수 있고, 상기 경화 시스템(220)으로 및 이 시스템으로부터 기판을 전달시키기 위해서 상기 경화 시스템(220)에 연결될 수 있으며, 상기 후처리 시스템(240)으로 및 이 시스템으로부터 기판을 전달시키기 위해서 상기 선택적인 후처리 시스템(240)에 연결될 수 있다. 진공 환경을 유지하면서, 상기 전달 시스템(230)은 기판을 상기 건조 시스템(210), 경화 시스템(220) 및 선택적인 후처리 시스템(240)으로 및 이들 시스템으로부터 전달할 수 있다.
또한, 전달 시스템(230)은 하나 이상의 기판 카세트(도시되지 않음)와 기판을 교환할 수 있다. 도 1c에 단지 세 개의 공정 시스템이 도시되었으나, 다른 공정 시스템, 예컨대 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 시스템이 상기 전달 시스템(230)에 액세스할 수 있다. 제 1 및 제 2 시스템에서 일어나는 공정을 분리하기 위해서, 분리 어셈블리(250)가 각 시스 템을 연결하는데 이용될 수 있다. 예를 들면, 상기 분리 어셈블리(250)는 열 분리를 제공하는 열 분리 어셈블리, 및 진공 분리를 제공하는 게이트 벨브 어셈블리 중 적어도 하나를 포함할 수 있다. 또한, 예를 들면, 상기 전달 시스템(230)은 상기 분리 어셈블리(250)의 일부로서 기능할 수 있다.
도 1a에서 도시된 처리 시스템(1)의 건조 시스템(10) 및 경화 시스템(20) 중 적어도 하나는 기판의 통과를 허용하는 적어도 두 개의 전달 개구부를 포함한다. 예를 들면, 도 1a에 도시된 바와 같이, 상기 건조 시스템(10)은 두 개의 전달 개구부를 포함하며, 제 1 전달 개구부는 상기 건조 시스템(10)과 전달 시스템(30) 사이의 기판의 통과를 허용하고, 제 2 전달 개구부는 상기 건조 시스템과 상기 경화 시스템 사이의 기판의 통과를 허용한다. 그러나, 도 1b에 도시된 처리 시스템(100) 및 도 1c에 도시된 처리 시스템(200)에 대하여, 각 처리 시스템(110, 120, 140 및 210, 220, 240)은 각각, 기판의 통과를 허용하는 적어도 하나의 전달 개구부를 포함한다.
다음에, 도 2와 관련하여, 본 발명의 또 다른 실시예에 따른 건조 시스템(300)이 나타나 있다. 건조 시스템(300)은 기판 홀더(320) 상에 놓인 기판(325)을 건조시키기 위해서 청정하고 오염없는 환경을 생성하도록 구성된 건조 챔버(310)를 포함한다. 상기 건조 시스템(300)은 상기 건조 챔버(310)나 상기 기판 홀더(320)에 연결되며, 기판(325)의 온도를 높임으로써 오염물, 예컨대 수분, 잔류 용매 등을 증발시키도록 구성된 열 처리 장치(330)를 포함할 수 있다. 또한, 상기 건조 시스템(300)은, 상기 건조 챔버(310)에 연결되며, 진동하는 전기장의 존재시 오염물을 국부적으로 가열하도록 구성된 마이크로파 처리 장치(340)를 포함할 수 있다. 상기 건조 공정은 상기 열 처리 장치(330), 또는 상기 마이크로파 처리 장치(340), 또는 모두를 이용하여, 기판(325) 상의 유전체 막을 건조시키는 것을 용이하게 할 수 있다.
상기 열 처리 장치(330)는 전력원 및 온도 제어기에 연결된 기판 홀더(320)내에 삽입된(embedded) 하나 이상의 전도성 가열 요소를 포함할 수 있다. 예를 들면, 각 가열 요소는 전력을 공급하도록 구성된 전력원에 연결된 저항성 가열 요소를 포함할 수 있다. 대안적으로, 상기 열 처리 장치(330)는 전력원 및 제어기에 연결된 하나 이상의 방사 가열 요소를 포함할 수 있다. 예를 들면, 각 방사 가열 요소는 전력을 공급하도록 구성된 전력원에 연결된 가열 램프를 포함할 수 있다. 상기 기판(325)의 온도는, 예를 들면 약 20℃ 내지 약 500℃일 수 있고, 바람직하게는 약 200℃ 내지 약 400℃ 일 수도 있다.
상기 마이크로파 처리 장치(340)는 주파수 대역폭을 통하여 상기 마이크로파 주파수를 스윕(sweep)하도록 구성된 가변 주파수 마이크로파원을 포함할 수 있다. 주파수 변동은 전하 빌드 업(build-up)을 방지하며, 따라서 민감한 전자 장치에 마이크로파 건조 기술을 손상없이 적용하게 한다.
일 실시예에서, 상기 건조 시스템(300)은, 예를 들면 Lambda Technologies, Inc.(860 Aviation Parkway, Suite 900, Morrisville, NC 27560)로부터 상업적으로 입수 가능한 마이크로파 노와 같은 가변 주파수 마이크로파 장치와 열 처리 장치 모두를 사용하는 건조 시스템을 포함할 수 있다. 부가적인 상세에 대해서는, Lambda Technologies, Inc.에 양도되며, "Curing polymer layers on semiconductor substrates using variable frequency microwave energy" 이 발명의 명칭인 미국 특허 NO 5,738,915 에 마이크로파 노가 개시되어 있으며, 그 전체 내용이 여기서 참조용으로 사용되었다.
상기 기판 홀더(320)는 기판(325)을 클램프하도록 구성될 수도 있고, 그렇지 않을 수도 있다. 예를 들면, 상기 기판 홀더(320)는 기판(325)을 기계적 또는 전기적으로 클램프시킬 수 있도록 구성될 수도 있다.
도 2를 다시 참조하여, 상기 건조 시스템(300)은 상기 건조 챔버(310)에 연결되어 건조 챔버(310)에 퍼지 가스를 도입하도록 구성된 가스 주입 시스템(350)을 더 포함할 수 있다. 상기 퍼지 가스는, 예를 들면 희가스 또는 질소와 같은 비활성 가스를 포함할 수 있다. 또한, 상기 건조 시스템(300)은 상기 건조 챔버(310)에 연결되어 이 건조 챔버(310)를 배기하도록 구성된 진공 펌핑 시스템(355)을 포함할 수 있다. 건조 공정 동안, 기판(325)이 진공 상태로 또는 비진공 상태로 비활성 가스 환경에 영향을 받을 수 있다.
또한, 상기 건조 시스템(300)은 건조 챔버(310), 기판 홀더(320), 열 처리 장치(330), 마이크로파 처리 장치(340), 가스 주입 시스템(350), 및 진공 펌핑 시스템(355)에 연결된 제어기(360)를 포함할 수 있다. 제어기(360)는 마이크로프로세서, 메모리, 및 상기 건조 시스템(300)으로부터의 출력을 모니터링할 뿐만 아니라, 건조 시스템(300)에 입력을 전달하고 활성화시키는 데 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 상기 메모리에 저장된 프로그 램은 저장된 공정 방법에 따라 상기 건조 시스템(300)과 상호 작용하는데 사용된다. 상기 제어기(360)는, 임의 수의 처리 요소들(310, 320, 330, 340, 350, 또는 355)을 구성하는 데 사용될 수 있고, 이 제어기(360)는 처리 요소로부터의 데이터를 수집하고, 제공하며, 처리하고, 저장하며, 디스플레이할 수 있다. 상기 제어기(360)는 하나 이상의 처리 요소를 제어하기 위한 다수의 애플리케이션을 포함할 수 있다. 예를 들면, 상기 제어기(360)는, 사용자가 하나 이상의 처리 요소를 모니터링 및/또는 제어할 수 있게 하는 인터페이스를 제공할 수 있는 그래픽 유저 인터페이스(GUI) 요소(도시되지 않음)를 포함할 수 있다.
이제, 도 3을 참조하여, 본 발명의 또 다른 실시예에 따른 경화 시스템(400)이 나타나 있다. 경화 시스템(400)은, 기판 홀더(420) 상에 놓인 기판(425)을 경화시키기 위해서 청정하고 오염없는 환경을 만들도록 구성된 경화 챔버(410)를 포함한다. 또한, 상기 경화 시스템(400)은 유전체 막을 갖는 기판(425)을 다중 전자기 파장에서 전자기(EM) 방사에 노출시키도록 구성된 2개 이상의 방사원을 더 포함한다. 이 2개 이상의 방사원은 IR 방사원(440) 및 UV 방사원(445)을 포함할 수 있다. UV 방사 및 IR 방사로의 기판의 노출은 동시적으로, 순차적으로, 또는 서로 중첩하여 수행될 수 있다.
상기 IR 방사원(440)은 광대역 IR원을 포함할 수도 있고, 협대역 IR원을 포함할 수도 있다. 상기 IR 방사원은 하나 이상의 IR 램프, 또는 하나 이상의 IR 레이저(연속파(CW), 튜너블(tunable) 또는 펄스드(pulsed)), 또는 이들의 임의의 조합을 포함할 수 있다. 상기 IR 전력은 약 0.1 mW 내지 약 2000 W 일 수 있다. 상 기 IR 방사 파장은 약 1 미크론 내지 약 25 미크론일 수 있고, 바람직하게는 약 8 미크론 내지 약 14 미크론일 수 있다. 예를 들면, 상기 IR 방사원(440)은, 세라믹 요소 또는 실리콘 카바이드 요소와 같은, 약 1 미크론 내지 약 25 미크론의 스펙트럼 출력을 갖는 IR 성분을 포함할 수 있고, 또는 이 IR 방사원(440)은 반도체 레이저(다이오드), 또는 광학적 파라미터 증폭을 가지는 이온, Ti:sapphire, 또는 다이 레이저를 포함할 수 있다.
상기 UV 방사원(445)은 광대역 UV원을 포함할 수도 있고, 또는 협대역 UV원을 포함할 수도 있다. 상기 UV 방사원은 하나 이상의 UV 램프, 또는 하나 이상의 UV 레이저(연속파(CW), 튜너블 또는 펄스드), 또는 이들의 임의의 조합을 포함할 수 있다. UV 방사는, 예를 들면, 마이크로파원, 아크 방전, 유전체 베리어 방전, 또는 전자 충돌 발생으로부터 발생될 수 있다. 상기 UV 전력 밀도는 약 0.1 mW/cm2 내지 약 2000 mW/cm2 일 수 있다. 상기 UV 파장은 약 100 nm 내지 약 600 nm일 수 있고, 바람직하게는 약 200 nm 내지 약 400 nm일 수 있다. 예를 들면, 상기 UV 방사원(445)은, 듀테륨(D2) 램프와 같은, 약 180 nm 내지 약 500 nm의 스펙트럼 출력을 갖는 직류(DC) 또는 펄스드 램프를 포함할 수 있고, 또는 이 UV 방사원(445)은 반도체 레이저(다이오드), (질소)가스 레이저, 3배 주파수 Nd:YAG 레이저, 또는 구리 증기 레이저를 포함할 수 있다.
상기 IR 방사원(440), 또는 UV 방사원(445), 또는 모두는 출력 방사의 하나 이상의 특성을 조정하기 위한 임의 수의 광학 장치를 포함할 수도 있다. 예를 들 면, 각 방사원은, 광학 필터, 광학 렌즈, 빔 신장기, 빔 콜리메이터(beam collimators) 등을 더 포함할 수도 있다. 광학 및 EM 파 전파 분야의 당업자에 알려져 있는 이러한 광학 조작 장치는 본 발명에 적합하다.
또한, 상기 기판 홀더(420)는 기판(425)의 온도를 높이고 및/또는 제어하도록 구성될 수 있는 온도 제어 시스템을 더 포함할 수 있다. 이 온도 제어 시스템은 열 처리 장치(430)의 일부일 수 있다. 상기 기판 홀더(420)는 전력원 및 온도 제어기에 연결된 기판 홀더(420) 내에 삽입된 하나 이상의 전도성 가열 요소를 포함할 수 있다. 예를 들면, 각 가열 요소는 전력을 공급하도록 구성된 전력원에 연결된 저항성 가열 요소를 포함할 수 있다. 상기 기판 홀더(420)는, 하나 이상의 방사 가열 요소를 선택적으로 포함할 수 있다. 상기 기판(425)의 온도는, 예컨대 약 20℃ 내지 약 500℃일 수 있고, 바람직하게는 이 온도는 약 200℃ 내지 약 400℃ 일 수도 있다.
또한, 상기 기판 홀더(420)는 기판(425)을 클램프하도록 구성될 수도 있고, 그렇지 않을 수 있다. 예를 들면, 상기 기판 홀더(420)는 기판(425)을 기계적 또는 전자적으로 클램프하도록 구성될 수도 있다.
도 3을 다시 참고하여, 상기 경화 시스템(400)은 상기 경화 챔버(410)에 연결되며 경화 챔버(410)에 퍼지 가스를 도입하도록 구성된 가스 주입 시스템(450)을 더 포함할 수 있다. 상기 퍼지 가스는, 예를 들면 희가스 또는 질소와 같은 비활성 가스를 포함할 수 있다. 대안적으로, 상기 퍼지 가스는, 다른 가스, 예컨대 H2, NH3, CxHy 또는 이들의 임의 조합을 포함할 수 있다. 또한, 상기 경화 시스템(400)은 상기 경화 챔버(410)에 연결되며 그 경화 챔버(410)를 배기하도록 구성된 진공 펌핑 시스템(455)을 더 포함할 수 있다. 경화 공정 동안, 기판(425)은 진공 상태로 또는 비진공 상태로 퍼지 가스 환경에 영향을 받을 수 있다.
또한, 상기 경화 시스템(400)에는 건조 챔버(410), 기판 홀더(420), 열 처리 장치(430), IR 방사원(440), UV 방사원(445), 가스 주입 시스템(450), 및 진공 펌핑 시스템(455)에 연결된 제어기(460)를 포함할 수 있다. 제어기(460)는, 마이크로프로세서, 메모리, 및 상기 경화 시스템(400)으로부터의 출력을 모니터링할 뿐 아니라, 상기 경화 시스템(400)에 입력을 전달하고 활성화시키는 데 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 상기 메모리에 저장된 프로그램은 저장된 공정 방법에 따라 상기 경화 시스템(400)과 상호 작용하는 데 사용된다. 상기 제어기(460)는 임의 수의 처리 요소들(410, 420, 430, 440, 445, 450, 또는 455)를 구성하도록 사용될 수 있고, 이 제어기(460)는 처리 요소로부터의 데이터를 수집하고, 제공하며, 처리하고, 저장하며, 디스플레이할 수 있다. 상기 제어기(460)는 하나 이상의 처리 요소를 제어하기 위한 다수의 애플리케이션을 포함할 수 있다. 예를 들면, 상기 제어기(460)는, 사용자가 하나 이상의 처리 요소를 모니터링 및/또는 제어할 수 있게 하는 인터페이스를 사용하기 쉽게 제공할 수 있는 그래픽 유저 인터페이스(GUI) 요소(도시되지 않음)를 포함할 수 있다.
상기 제어기(360 및 460)는 DELL PRECISION WORKSTATION 610TM 로서 실행될 수도 있다. 상기 제어기(360 및 460)는 또한 범용 컴퓨터, 프로세서, 디지털 신호 프로세서 등으로서 실행될 수도 있는데, 이는, 기판 처리 장치가, 컴퓨터 판독 가능한 매체에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 상기 제어기(360 및 460)에 응답하여 본 발명의 처리 단계의 일부 혹은 전체를 수행하도록 한다. 본 발명의 교훈에 따라 프로그램된 명령을 유지하고, 데이터 구조, 테이블, 기록, 또는 다른 데이터를 포함하기 위한 컴퓨터 판독 가능한 매체 또는 메모리는 여기서 설명하였다. 컴퓨터 판독 가능한 매체의 예에는, 컴팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 자기 광학 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기적 매체, 컴팩트 디스크(예, CD-ROM) 또는 임의의 다른 광학 매체, 펀치 카드, 페이퍼 테이프, 구멍 패턴을 갖는 다른 물리적 매체, 캐리어파(후술함), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체가 있다.
상기 제어기(360 및 460)는 상기 건조 시스템(300) 및 경화 시스템(400)에 관해 국부적으로 위치될 수도 있고, 또는 인터넷 또는 인트라넷을 통하여 상기 건조 시스템(300) 및 경화 시스템(400)에 관해 떨어져 위치될 수도 있다. 따라서, 상기 제어기(360 및 460)는 직접 접속, 인트라넷, 및 인터넷 중 적어도 하나를 사용하여 상기 건조 시스템(300) 및 경화 시스템(400)과 데이터를 교환할 수 있다. 상기 제어기(360 및 460)는 고객 측(즉, 장치 제조자 등)에서 인트라넷에 연결될 수도 있고, 또는 벤더 측(즉, 장비 제조업자)에서 인트라넷에 연결될 수도 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 집적 접속, 인트라넷, 및 인터넷 중 적 어도 하나를 통하여 데이터를 교환하도록 제어기(360 및 460)에 액세스할 수 있다.
이제 도 4를 참조하여, 다른 실시예에 따른, 기판 상의 유전체 막을 처리하는 방법이 설명되고 있다. 본 방법은, 제 1 처리 시스템에서 기판 상의 유전체 막을 건조시키는 것으로 510에서 시작하는 흐름도(500)를 포함한다. 상기 제 1 처리 시스템은, 유전체 막 내부의 하나 이상의 오염물, 예컨대 수분, 용매, 포로겐, 또는 이후의 경화 공정을 방해할 수도 있는 임의의 다른 오염물을 제거하거나 부분 제거하도록 구성된 건조 시스템을 포함한다.
520에서는, 제 2 처리 시스템에서 유전체 막이 경화된다. 본 제 2 처리 시스템은, 예컨대 유전체 막의 기계적 특성을 개선시키기 위하여, 유전체 막 내부에 교차 결합을 발생시키거나 부분 발생시킴으로써 유전체 막을 경화하도록 구성된 경화 시스템을 포함한다. 건조 공정 이후에, 기판은, 오염물을 최소화하기 위하여 진공 상태 하에서 제 1 처리 시스템으로부터 제 2 처리 시스템으로 전달될 수 있다. 여기서, 기판은 UV 방사 및 IR 방사에 노출된다. 또한, 건조 및 경화 공정 이후에, 유전체 막은 경화된 유전체 막을 변경하도록 구성된 후처리 시스템에서 선택적으로 후처리될 수도 있다. 예를 들면, 후처리는, 후속의 막에 대한 부착성을 촉진시키거나 소수성을 개선시키기 위해서, 유전체 막 상에 다른 막을 스핀 코팅하거나 기상 증착하는 것을 포함할 수 있다. 대안적으로, 예를 들면, 후처리 시스템에서 이온으로 상기 유전체 막을 가볍게 충돌시킴으로써 부착성 촉진이 달성될 수도 있다. 본 발명에 적합할 수 있는 이러한 하나의 후처리는, "Method of improving adhesion between thin films" 이 발명의 명칭인 미국 특허 NO 5,714,437 에 설명되어 있으며, 그 전체 내용이 여기서 참조용으로 사용되었다.
본 발명의 특정한 예시적인 실시예만이 상기에 상세히 설명되었지만, 당업자는, 본 발명의 신규한 교훈과 이점으로부터 실질적으로 벗어나지 않고 많은 수정이 예시적인 실시예에서 가능하다는 것을 용이하게 이해할 것이다. 따라서, 이러한 모든 변경은 본 발명의 범위 내에 포함되고자 한다.

Claims (29)

  1. 기판 상의 유전체 막을 처리하기 위한 처리 시스템에 있어서,
    경화 공정을 수행하도록 구성된 경화 시스템을 포함하며,
    상기 경화 시스템은 각각 특정 방사파 대역에 전용된 2개 이상의 방사원 그룹을 포함하고,
    상기 2개 이상의 방사원 그룹은,
    상기 유전체 막을 자외(UV) 방사에 노출시키도록 구성된 UV 방사원; 및
    상기 유전체 막을 적외(IR) 방사에 노출시키도록 구성된 IR 방사원
    을 포함하는 것인 기판 상의 유전체 막을 처리하기 위한 처리 시스템.
  2. 제 1 항에 있어서, 상기 IR 방사원은 1 미크론 내지 25 미크론을 범위로 하는 IR 파장대원을 포함하는 것인 처리 시스템.
  3. 제 1 항에 있어서, 상기 IR 방사원은 8 미크론 내지 14 미크론을 범위로 하는 IR 파장대원을 포함하는 것인 처리 시스템.
  4. 제 1 항에 있어서, 상기 UV 방사원은 100 나노미터 내지 600 나노미터를 범위로 하는 UV 파장대원을 포함하는 것인 처리 시스템.
  5. 제 1 항에 있어서, 상기 UV 방사원은 200 나노미터 내지 400 나노미터를 범위로 하는 UV 파장대원을 포함하는 것인 처리 시스템.
  6. 제 1 항에 있어서, 상기 IR 방사원은 광대역 방사원, 또는 협대역 방사원, 또는 이들의 조합을 포함하는 것인 처리 시스템.
  7. 제 1 항에 있어서, 상기 IR 방사원은 하나 이상의 IR 램프, 또는 하나 이상의 IR 레이저, 또는 이들의 조합을 포함하는 것인 처리 시스템.
  8. 제 1 항에 있어서, 상기 UV 방사원은 광대역 방사원, 또는 협대역 방사원, 또는 이들의 조합을 포함하는 것인 처리 시스템.
  9. 제 1 항에 있어서, 상기 UV 방사원은 하나 이상의 UV 램프, 또는 하나 이상의 UV 레이저, 또는 이들의 조합을 포함하는 것인 처리 시스템.
  10. 제 1 항에 있어서,
    상기 유전체 막 내의 혹은 그 상의 오염물의 양을 감소시키기 위해 건조 공정을 수행하도록 구성된 건조 시스템; 및
    상기 건조 시스템 및 상기 경화 시스템에 연결되며, 진공 상태 하에서 상기 건조 시스템과 상기 경화 시스템 간에 상기 기판을 교환하도록 구성된 전달 시스템
    을 더 포함하고,
    상기 건조 시스템은,
    상기 건조 공정을 용이하게 하도록 구성된 건조 챔버;
    상기 건조 챔버에 연결되며 상기 건조 챔버 내에 상기 기판을 지지하도록 구성된 기판 홀더; 및
    상기 건조 챔버에 연결되며 상기 기판 상의 상기 유전체 막을 건조시키도록 구성된 열 처리 장치, 또는 마이크로파 처리 장치, 또는 이들 모두
    를 포함하는 것인 처리 시스템.
  11. 제 10 항에 있어서, 상기 열 처리 장치는 상기 기판 홀더에 연결된 온도 제어 요소를 포함하는 것인 처리 시스템.
  12. 제 11 항에 있어서, 상기 온도 제어 요소는 저항성 가열 요소를 포함하는 것인 처리 시스템.
  13. 제 10 항에 있어서, 상기 열 처리 장치는 200℃ 내지 400℃ 까지 상기 기판의 온도를 높이도록 구성되는 것인 처리 시스템.
  14. 제 10 항에 있어서, 상기 마이크로파 처리 장치는 상기 건조 챔버에 연결된 가변 주파수 마이크로파원을 포함하는 것인 처리 시스템.
  15. 제 10 항에 있어서, 상기 건조 챔버는 상기 건조 챔버에 퍼지 가스를 공급하 도록 구성된 가스 주입 시스템을 포함하는 것인 처리 시스템.
  16. 제 15 항에 있어서, 상기 가스 주입 시스템은 상기 건조 챔버에 희가스 또는 질소를 공급하도록 구성되는 것인 처리 시스템.
  17. 제 1 항에 있어서, 상기 경화 시스템은,
    상기 경화 공정을 용이하게 하도록 구성된 경화 챔버;
    상기 경화 챔버에 연결되며 상기 경화 챔버 내에 상기 기판을 지지하도록 구성된 기판 홀더; 및
    상기 경화 챔버에 연결되며 상기 기판 상의 상기 유전체 막을 가열하도록 구성된 온도 제어 시스템
    을 더 포함하는 것인 처리 시스템.
  18. 제 17 항에 있어서, 상기 온도 제어 시스템은 상기 기판 홀더에 연결된 온도 제어 요소를 포함하는 것인 처리 시스템.
  19. 제 18 항에 있어서, 상기 온도 제어 요소는 저항성 가열 요소를 포함하는 것인 처리 시스템.
  20. 제 17 항에 있어서, 상기 온도 제어 시스템은 200℃ 내지 400℃ 까지 상기 기판의 온도를 높이도록 구성되는 것인 처리 시스템.
  21. 제 10 항에 있어서, 상기 전달 시스템에 연결되며 상기 경화 공정 후에 상기 유전체 막을 처리하도록 구성된 후처리 시스템을 더 포함하는 처리 시스템.
  22. 제 21 항에 있어서, 상기 후처리 시스템은, 에칭 시스템, 증착 시스템, 기상 증착 시스템, 스핀-온 증착 시스템, 진공 처리 시스템, 플라즈마 처리 시스템, 클리닝 시스템, 또는 열 처리 시스템 중 하나 이상을 포함하는 것인 처리 시스템.
  23. 기판 상의 유전체 막을 처리하는 방법에 있어서,
    경화 시스템 내에 상기 기판을 배치하는 단계로서, 상기 경화 시스템은 각각 특정 방사파 대역에 전용된 2개 이상의 방사원 그룹을 포함하고, 상기 2개 이상의 방사원 그룹은 상기 유전체 막을 자외(UV) 방사에 노출시키도록 구성된 UV 방사원; 및 상기 유전체 막을 적외(IR) 방사에 노출시키도록 구성된 IR 방사원을 포함하는 것인 배치 단계; 및
    상기 유전체 막을 경화시키는 경화 단계
    를 포함하고,
    상기 경화 단계는,
    상기 유전체 막을 상기 UV 방사원으로부터의 UV 방사에 노출시키는 단계와,
    상기 유전체 막을 상기 IR 방사원으로부터의 IR 방사에 노출시키는 단계를 포함하는 것인 기판 상의 유전체 막을 처리하는 방법.
  24. 제 23 항에 있어서, 상기 유전체 막을 UV 방사에 노출시키는 단계는, 상기 유전체 막을 하나 이상의 UV 램프, 또는 하나 이상의 UV 레이저, 또는 모두로부터의 UV 방사에 노출시키는 단계를 포함하는 것인 처리 방법.
  25. 제 23 항에 있어서, 상기 유전체 막을 IR 방사에 노출시키는 단계는, 상기 유전체 막을 하나 이상의 IR 램프, 또는 하나 이상의 IR 레이저, 또는 모두로부터의 IR 방사에 노출시키는 단계를 포함하는 것인 처리 방법.
  26. 제 23 항에 있어서, 상기 경화 단계 후에, 상기 유전체 막 상에 다른 막을 증착하는 것, 상기 유전체 막을 세정하는 것, 또는 상기 유전체 막을 플라즈마에 노출시키는 것 중 하나 이상을 수행함으로써 상기 유전체 막을 처리하는 단계를 더 포함하는 처리 방법.
  27. 제 23 항에 있어서, 상기 배치 단계와 상기 경화 단계 중 하나 이상은,
    저 유전 상수(low-k) 유전체 막을 처리하는 단계
    를 포함하는 것인 처리 방법.
  28. 삭제
  29. 삭제
KR1020087013821A 2005-11-09 2006-10-06 유전체 막을 처리하기 위한 처리 시스템 및 처리 방법 KR101291017B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/269,581 US7622378B2 (en) 2005-11-09 2005-11-09 Multi-step system and method for curing a dielectric film
US11/269,581 2005-11-09
PCT/US2006/039260 WO2007055849A2 (en) 2005-11-09 2006-10-06 Multi-step system and method for curing a dielectric film

Publications (2)

Publication Number Publication Date
KR20080067002A KR20080067002A (ko) 2008-07-17
KR101291017B1 true KR101291017B1 (ko) 2013-07-30

Family

ID=38004345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087013821A KR101291017B1 (ko) 2005-11-09 2006-10-06 유전체 막을 처리하기 위한 처리 시스템 및 처리 방법

Country Status (6)

Country Link
US (5) US7622378B2 (ko)
JP (1) JP5496512B2 (ko)
KR (1) KR101291017B1 (ko)
CN (2) CN103489813A (ko)
TW (1) TWI360832B (ko)
WO (1) WO2007055849A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160363369A1 (en) * 2015-06-12 2016-12-15 Targeted Microwave Solutions Inc. Methods and apparatus for electromagnetic processing of phyllosilicate minerals

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099827A1 (en) * 2004-11-05 2006-05-11 Yoo Woo S Photo-enhanced UV treatment of dielectric films
US20060273265A1 (en) * 2005-05-11 2006-12-07 Ronald Lipson UV curing system with remote controller
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090004368A1 (en) * 2007-06-29 2009-01-01 Weyerhaeuser Co. Systems and methods for curing a deposited layer on a substrate
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
DE112009000518T5 (de) * 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US8463116B2 (en) * 2008-07-01 2013-06-11 Tap Development Limited Liability Company Systems for curing deposited material using feedback control
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
WO2010033469A2 (en) * 2008-09-16 2010-03-25 Tokyo Electron Limited Dielectric material treatment saystem and method of operating
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
JP5560325B2 (ja) * 2010-04-01 2014-07-23 株式会社アルバック 真空処理装置及び低誘電率膜作製装置
JP2012104703A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367238B (zh) * 2012-03-31 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种低k介质层及其形成方法
CN103420333A (zh) * 2012-05-15 2013-12-04 中国科学院微电子研究所 利用微波干燥纳米图形的方法及其装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN104603914B (zh) * 2012-09-07 2017-07-14 应用材料公司 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10147640B2 (en) 2014-03-11 2018-12-04 Tokyo Electron Limited Method for removing back-filled pore-filling agent from a cured porous dielectric
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101818721B1 (ko) 2015-03-27 2018-02-21 에이피시스템 주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018230806A1 (ko) * 2017-06-14 2018-12-20 주식회사 케이씨텍 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383946A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种纳米图形快速固化装置
CN111380332A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种具有功率自适应调节的微波干燥装置
CN111380331A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种微波干燥装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200127078A (ko) * 2019-04-30 2020-11-10 세메스 주식회사 기판 처리 방법, 기판 처리 장치 및 기판 처리 설비
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN110718486B (zh) * 2019-10-17 2022-10-04 沈阳硅基科技有限公司 一种薄膜转移方法
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US20050085094A1 (en) * 2003-10-20 2005-04-21 Yoo Woo S. Integrated ashing and implant annealing method using ozone

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487780A (en) * 1987-09-30 1989-03-31 Mitsubishi Metal Corp Production of thin film of composite metal oxide
JPH01309335A (ja) * 1988-06-08 1989-12-13 Hitachi Ltd 塗布絶縁膜の形成方法
TW276353B (ko) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US6413883B1 (en) 1996-03-04 2002-07-02 Symetrix Corporation Method of liquid deposition by selection of liquid viscosity and other precursor properties
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH10279362A (ja) 1997-03-31 1998-10-20 Tonen Corp SiO2系セラミックス膜の形成方法
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
JP2001214127A (ja) 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP2003151969A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US20030224544A1 (en) 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP3726071B2 (ja) * 2002-06-05 2005-12-14 東京エレクトロン株式会社 熱処理方法
JP4209658B2 (ja) * 2002-10-22 2009-01-14 東京エレクトロン株式会社 基板処理装置
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
KR100541179B1 (ko) 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7223670B2 (en) 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7166963B2 (en) 2004-09-10 2007-01-23 Axcelis Technologies, Inc. Electrodeless lamp for emitting ultraviolet and/or vacuum ultraviolet radiation
US7081638B1 (en) 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
WO2006132655A1 (en) 2005-06-03 2006-12-14 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US20070264786A1 (en) 2006-05-11 2007-11-15 Neng-Kuo Chen Method of manufacturing metal oxide semiconductor transistor
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US20050085094A1 (en) * 2003-10-20 2005-04-21 Yoo Woo S. Integrated ashing and implant annealing method using ozone

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160363369A1 (en) * 2015-06-12 2016-12-15 Targeted Microwave Solutions Inc. Methods and apparatus for electromagnetic processing of phyllosilicate minerals
US9810480B2 (en) * 2015-06-12 2017-11-07 Targeted Microwave Solutions Inc. Methods and apparatus for electromagnetic processing of phyllosilicate minerals

Also Published As

Publication number Publication date
US9184047B2 (en) 2015-11-10
WO2007055849A2 (en) 2007-05-18
US20160027641A1 (en) 2016-01-28
US7622378B2 (en) 2009-11-24
US10068765B2 (en) 2018-09-04
KR20080067002A (ko) 2008-07-17
US20140109432A1 (en) 2014-04-24
US20100041248A1 (en) 2010-02-18
US20070105401A1 (en) 2007-05-10
JP5496512B2 (ja) 2014-05-21
JP2009520342A (ja) 2009-05-21
US20160314966A1 (en) 2016-10-27
CN101517708A (zh) 2009-08-26
TWI360832B (en) 2012-03-21
CN103489813A (zh) 2014-01-01
WO2007055849A3 (en) 2009-05-07
TW200735171A (en) 2007-09-16
US8642488B2 (en) 2014-02-04
US9443725B2 (en) 2016-09-13

Similar Documents

Publication Publication Date Title
KR101291017B1 (ko) 유전체 막을 처리하기 위한 처리 시스템 및 처리 방법
TWI431689B (zh) 介電膜之硬化方法
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US8956457B2 (en) Thermal processing system for curing dielectric films
US9017933B2 (en) Method for integrating low-k dielectrics
JP5490024B2 (ja) 有孔性低誘電率誘電膜の硬化方法
US7666754B2 (en) Method and system for forming an air gap structure
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 6