CN103489813A - 用于固化电介质膜的多步系统和方法 - Google Patents

用于固化电介质膜的多步系统和方法 Download PDF

Info

Publication number
CN103489813A
CN103489813A CN201310341426.9A CN201310341426A CN103489813A CN 103489813 A CN103489813 A CN 103489813A CN 201310341426 A CN201310341426 A CN 201310341426A CN 103489813 A CN103489813 A CN 103489813A
Authority
CN
China
Prior art keywords
dielectric film
exposed
film
radiation
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310341426.9A
Other languages
English (en)
Inventor
刘俊军
埃里克·M·李
多雷尔·L·托玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103489813A publication Critical patent/CN103489813A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/062Pretreatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Solid Materials (AREA)

Abstract

一种用于使电介质膜固化的多步系统和方法,其中该系统包括被配置成用于减少电介质膜中的污染物(例如水分)的量的干燥系统。该系统还包括与干燥系统耦合的固化系统,该固化系统被配置成通过紫外(UV)辐射和红外(IR)辐射处理电介质膜来固化该电介质膜。

Description

用于固化电介质膜的多步系统和方法
本申请是申请号为200680050790.8、申请日为2006年10月6日、发明名称为“用于固化电介质膜的多步系统和方法”的母案申请的分案申请。
技术领域
本发明涉及一种用于处理电介质膜的多步系统和方法,更具体地涉及一种用于干燥和固化电介质膜的原位多步系统和方法。
背景技术
如半导体领域中的技术人员所知,提高集成电路(IC)的速度和性能的主要限制因素是互连延迟。使互连延迟最小化的一个方法是通过在IC器件中使用低介电常数(低k)材料作为金属导线的绝缘电介质来减小互连电容。因此,近年来,低k材料已经被开发来代替诸如二氧化硅的较高介电常数绝缘材料。具体来说,低k膜正在被用于半导体器件的金属导线之间的层间和层内电介质层。此外,为了进一步减小绝缘材料的介电常数,材料膜形成有多个孔,即多孔低k电介质膜。这样的低k膜可以通过类似于涂敷光刻胶的旋涂电介质(SOD)法或者通过化学气相沉积(CVD)被沉积。因此,低k材料的使用容易适用于现有的半导体制造工艺。
低k材料的坚固性比不上更传统的二氧化硅,而且多孔性的存在使其机械强度进一步降低。多孔低k膜容易在等离子体处理过程中损伤,因而需要对其机械强度进行强化。已经认识到,增强多孔低k电介质材料的强度对于成功地集成该材料来说是必须的。为了提高机械强度,目前正在寻求各种固化技术来使多孔低k膜更坚固并适于集成。
聚合物的固化包括如下过程:对采用例如旋涂或气相沉积(如化学气相沉积,CVD)技术沉积的薄膜进行处理,以使该薄膜中发生交联。在固化过程中,自由基聚合被认为是交联的主要途径。由于聚合物链的交联,诸如杨氏模量、膜硬度、断裂韧度和界面粘附性之类的机械性质得以改善,从而提高了低k膜的制造坚固性。
由于形成具有超低介电常数的多孔电介质膜的方式多种多样,后沉积处理(固化)的目标也依赖于膜而有所不同,例如去除水分、去除溶剂、烧蚀多孔电介质膜中的用于形成孔的成孔剂、提高这种膜的机械性质等等。
对于CVD膜,低介电常数(低k)材料通常在300-400℃的温度下热固化。例如,热炉固化足以制造介电常数大于约2.5的坚固且致密的低k膜。然而,当处理大孔隙率的多孔电介质膜(例如超低k膜)时,通过热处理(或热固化)得到的交联度已不足以制造出对于坚固的互连结构来说强度足够大的膜。
在热固化过程中注意到,在不损伤电介质膜的前提下,适量的能量被输送至该膜。但在期望的温度范围内,只能生成少量的自由基。由于衬底结合热量时会损失热能并且部分热量损失到周围环境中,实际上只有少量热能被吸收到待固化低k膜中。因此,低k热炉固化通常需要较高的温度和较长的固化时间。但即使在热预算较高的条件下,热固化过程中所产生的引发剂的缺乏以及被沉积的低k膜中大量甲基末端的存在也会使达到期望的交联度十分困难。
发明内容
本发明的一个方面可以减轻或消除上述问题或现有技术中与处理电介质膜相关的其它问题。
本发明的另一个方面可以对电介质膜进行处理以使其固化。
本发明的另一个方面可以通过使用彼此耦合的多个工艺模块进行原位多步干燥和固化工艺来处理电介质膜。
根据本发明,上述和/或其它方面可由用于处理电介质膜的处理系统来提供。在一种实施方式中,用于处理衬底上的电介质膜的处理系统包括:干燥系统,被配置成进行干燥工艺以减少电介质膜中或电介质膜上的污染物的量;与干燥系统耦合的固化系统,被配置成进行固化工艺。固化系统包括:紫外(UV)辐射源,被配置成将电介质膜暴露于UV辐射;红外(IR)辐射源,被配置成将电介质膜暴露于IR辐射。该系统还包括与干燥系统和固化系统耦合的转移系统。该转移系统被配置成用于在真空条件下将衬底在干燥系统与固化系统之间交换。
在另一种实施方式中,用于处理衬底上的电介质膜的方法和计算机可读介质包括:将衬底放置在干燥系统中;根据干燥工艺干燥该电介质膜以便于去除或部分去除该电介质膜上或该电介质膜中的污染物;将衬底从干燥系统转移至固化系统,同时在转移过程中保持真空条件;通过将电介质膜暴露于UV辐射和将电介质膜暴露于IR辐射来固化该电介质膜。
附图说明
图1A-1C为根据本发明的一种实施方式的用于干燥系统和固化系统的转移系统的示意图;
图2为根据本发明的另一种实施方式的干燥系统的剖面示意图;
图3为根据本发明的另一种实施方式的固化系统的剖面示意图;
图4为根据本发明的另一种实施方式的电介质膜处理方法的流程图。
具体实施方式
为了便于充分理解本发明并且出于解释而非限制的目的,以下描述中提出了具体细节,例如处理系统的具体几何结构以及各个部件的描述。然而,应当理解,本发明可以通过不同于这些具体细节的其它实施方式来实现。
本发明人认识到,替代性固化方法解决了热固化的一部分缺陷。例如,与热固化方法相比,替代性固化方法在能量转移方面效率更高,而且以高能粒子形式(例如加速电子、离子或中性粒子)或高能光子形式存在的较高能量水平易于激发低k膜中的电子,从而有效地使化学键断裂和侧基脱离。这些替代性固化方法有利于生成交联引发剂(自由基),并且可以改善实际交联时需要的能量转移。因此,可以在降低的热量预算下提高交联度。
此外,本发明人已经认识到,对于超低k(ULK)电介质膜(介电常数小于约2.5)的集成来说,膜强度的问题变得越来越重要,而替代性固化方法可以改善这种膜的机械性质。例如,为了提高机械强度,可以使用电子束(EB)、紫外(UV)辐射、红外(IR)辐射和微波(MW)辐射来固化UKL膜,而不必牺牲介电性质和膜疏水性。
然而,尽管EB、UV、IR和MW固化各有优点,但这些技术也有局限性。例如EB和UV的高能固化源会提供高能量水平而产生过多的交联自由基,这导致机械性质在额外的衬底加热下被显著改善。而另一方面,电子和UV光子不加选择地分解化学键,这会不利地降低薄膜期望的物理和电气性质,例如疏水性下降、残余膜应力升高、孔结构塌陷、膜致密化以及介电常数增大。此外,低能固化源(例如IR和MW固化)可以明显提高传热效率,但同时也存在副效应,例如表层或表面致密化(IR)以及电弧放电或晶体管损坏(MW)。
现在参见附图,其中类似的附图标记表示相同或相应的部件,图1A示出了根据本发明的一种实施方式的用于处理衬底上的电介质膜的处理系统1。处理系统1包括干燥系统10以及与干燥系统10耦合的固化系统20。例如,干燥系统10可被配置成用于去除(或减少至足够低的水平)电介质膜中的一种或更多种污染物,包括例如水分、溶剂、成孔剂或可能妨碍固化系统20中进行的固化工艺的任何其它污染物。
例如,电介质膜中存在的某种污染物的足够减少量(从干燥工艺前到干燥工艺后)是指减少大约10-100%的该种污染物。可以使用傅立叶变换红外(FTIR)光谱法或质谱法来测量污染物的减少水平。或者,例如,电介质膜中存在的某种污染物的足够减少量可为约50-100%。或者,例如电介质膜中存在的某种污染物的足够减少量可为约80-100%。
仍参见图1A,固化系统20可被配置成通过使电介质膜中发生交联或部分交联来固化电介质膜,从而例如改善电介质膜的机械性质。固化系统20可包括两个或更多个辐射源,该辐射源被配置成将具有电介质膜的衬底暴露于多种电磁(EM)波长的电磁辐射。例如,所述两个或更多个辐射源可包括红外(IR)辐射源和紫外(UV)辐射源。衬底可以同时、依次或交错地暴露于UV辐射和IR辐射。当依次暴露时,衬底可例如先暴露于UV辐射再暴露于IR辐射,或相反。
例如,IR辐射可包括约1-25微米、优选约8-14微米的IR波段源。此外,例如,UV辐射可包括约100-600纳米(nm)、优选约200-400nm的UV波段源。
本发明人认识到,能级(hν)和能量被输送至电介质膜的速率(q’)在固化工艺的不同阶段有所变化。固化工艺可包括各种机理:生成交联引发剂、烧蚀成孔剂、分解成孔剂、膜交联和可选的交联引发剂扩散。每种机理可能需要不同的能级和能量到电介质膜的输送速率。例如,在基体材料的固化过程中,交联引发剂可以通过基体材料中的光子和声子诱导键离解来生成。键离解所需能级可小于或等于约300-400nm。此外,例如,成孔剂的烧蚀可通过感光剂对光子的吸收来促进。成孔剂烧蚀所需的UV波长例如小于或等于300-400nm。另外,例如,交联可通过足以形成和重组键的热能来促进。键的形成和重组所需能级的波长约为9微米(例如,对应于硅氧烷基有机硅酸盐低k材料的主吸收峰)。
待处理衬底可以是半导体、金属导体或其上将形成电介质膜的任何其它衬底。电介质膜的介电常数值(干燥和/或固化之前和/或之后)小于SiO2的介电常数(约为4),例如热二氧化硅的介电常数可为3.8-3.9。在本发明的各种实施方式中,电介质膜的介电常数(干燥和/或固化之前和/或之后)可小于3.0,或小于2.5,或为1.6-2.7。电介质膜可被描述为低k膜或超低k膜。电介质膜可例如包括双相多孔低k膜,该膜的介电常数在烧蚀成孔剂之前比烧蚀成孔剂之后高。此外,电介质膜可含有水分和/或其它污染物,这些物质会使干燥和/或固化前的介电常数高于干燥和/或固化后的介电常数。
电介质膜可利用化学气相沉积(CVD)技术或旋涂电介质(SOD)技术来形成,例如由从Tokyo Electron Limited(TEL)购得的Clean TrackACT 8 SOD和ACT 12 SOD涂布系统得到的那些。Clean Track ACT 8(200mm)和ACT 12(300nm)涂布系统提供SOD材料的涂布、烘焙和固化设备。该系统可被配置成用于处理尺寸为100mm、200mm、300mm以及更大的衬底。旋涂电介质技术和CVD电介质技术领域的技术人员已知的其它用于在衬底上形成电介质膜的系统和方法也适用于本发明。
该电介质膜的特点是具有低介电常数(低k)。电介质膜可包括至少一种有机、无机和无机-有机杂化材料。此外,电介质膜可以是多孔或无孔的。例如,电介质膜可包括用CVD技术沉积的无机硅酸盐基材料,例如氧化的有机硅烷(即有机硅氧烷)。这种膜的示例包括可从AppliedMaterials Inc购得的Black DiamondTM CVD有机硅酸盐玻璃(OSG)膜或可从Novellus Systems购得的CoralTM CVD膜。此外,例如,多孔电介质膜可包括单相材料,例如具有末端有机侧基的氧化硅基基体,这些侧基在固化过程中抑制交联生成小空隙(或孔)。此外,例如,多孔电介质膜可包括双相材料,例如包含在固化过程中分解和蒸发的有机材料(例如成孔剂)的氧化硅基基体。或者,电介质膜可包括用SOD技术沉积的无机硅酸盐基材料,例如氢硅倍半氧烷(HSQ)或甲基硅倍半氧烷(MSQ)。这些膜的示例包括可从Dow Corning购得的FOx HSQ、可从Dow Corning购得的XLK多孔HSQ和可从JSR Microelectronics购得的JSR LKD-5109。或者,电介质膜可包括用SOD技术沉积的有机材料。这些膜的示例包括可从Dow Chemical购得的SiLK-I、SiLK-J、SiLK-H、SiLK-D、多孔SiLK-T、多孔SiLK-Y和多孔SiLK-Z半导体电介质树脂和可从Honeywell购得的FLARETM和Nano-glass。
如图1A所示,为了将衬底移入和移出干燥系统10和固化系统20并与多元件制造系统40交换衬底,可将转移系统30与干燥系统10耦合。转移系统30可将衬底转移到干燥系统10和固化系统20中,也可将衬底从其中移出。干燥系统10、固化系统20和转移系统30可例如包括多元件制造系统40中的处理元件。例如,多元件制造系统40可使衬底移入和移出处理元件(例如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等)。为了隔离第一和第二系统中进行的工艺,可使用隔离组件50来耦合各系统。例如,隔离组件50可包括隔离热的热绝缘组件和提供真空的门阀组件中的至少一种。干燥系统10、固化系统20和转移系统30可以以任意顺序布置。
或者,在本发明的另一种实施方式中,图1B示出了用于处理衬底上的电介质膜的处理系统100。处理系统100包括“集合式”排列的干燥系统110和固化系统120。例如,干燥系统110可被配置成去除(或减少至足够低的水平)电介质膜中的一种或更多种污染物,包括例如水分、溶剂、成孔剂或可能妨碍固化系统120中进行的固化工艺的任何其它污染物。此外,例如,固化系统120可被配置成通过在电介质膜内引起或部分引起交联来固化电介质膜,从而例如提高电介质膜的机械性质。此外,处理系统100可选地包括被配置成用于改进经固化的电介质膜的后处理系统140。例如,后处理可包括在电介质膜上旋涂或气相沉积另一层膜以提高对后续膜的粘附性或改善疏水性。或者,例如,可以通过在后处理系统中用离子轻度轰击电介质膜来提高粘附性。
另外,如图1B所示,为了将衬底移入和移出干燥系统110,可将转移系统130与干燥系统110耦合;为了将衬底移入和移出固化系统120,可将转移系统130与固化系统120耦合;为了将衬底移入和移出后处理系统140,可将转移系统130与后处理系统140耦合。转移系统130可将衬底移入和移出干燥系统110、固化系统120和可选的后处理系统140,同时保持真空环境。
此外,转移系统130可与一个或更多个衬底盒(未示出)交换衬底。虽然图1B仅示出了两个或三个处理系统,但是其它可与转移系统130耦合的处理系统包括例如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等。为了隔离干燥和固化系统中进行的工艺,可使用隔离组件150来耦合各系统。例如,隔离组件150可包括隔离热的热绝缘组件和提供真空的门阀组件中的至少一种。此外,例如,转移系统130可作为隔离组件150的一部分。
或者,在本发明的另一种实施方式中,图1C示出了用于在衬底上处理电介质膜的处理系统200。处理系统200包括干燥系统210和固化系统220。例如,干燥系统210可被配置成用于去除(或减少至足够低的水平)电介质膜中的一种或更多种污染物,包括例如水分、溶剂、成孔剂或可能妨碍固化系统220中进行的固化工艺的任何其它污染物。此外,例如,固化系统220可被配置成用于通过在电介质膜内引起或部分引起交联来固化电介质膜,从而例如提高电介质膜的机械性质。此外,处理系统200可选地包括被配置成用于改进经固化的电介质膜的后处理系统240。例如,后处理可包括在电介质膜上旋涂或气相沉积另一层膜以提高对后续膜的粘附性或改善疏水性。或者,例如,可以通过在后处理系统中用离子轻度轰击电介质膜来提高粘附性。
干燥系统210、固化系统220和后处理系统240可水平排列或垂直排列(即叠积)。另外,如图1C所示,为了将衬底移入和移出干燥系统210,可将转移系统230与干燥系统210耦合;为了将衬底移入和移出固化系统220,可将转移系统230与固化系统220耦合;为了将衬底移入和移出后处理系统240,可将转移系统230与后处理系统240耦合。转移系统230可将衬底移入和移出干燥系统210、固化系统220和可选的后处理系统240,同时保持真空环境。
此外,转移系统230可与一个或更多个衬底盒(未示出)交换衬底。虽然图1C仅示出了三个处理系统,但是其它可与转移系统230耦合的处理系统包括例如蚀刻系统、沉积系统、涂布系统、图案化系统、测量系统等。为了隔离第一和第二系统中进行的工艺,可使用隔离组件250来耦合各系统。例如,隔离组件250可包括隔离热的热绝缘组件和提供真空的门阀组件中的至少一种。此外,例如,转移系统230可作为隔离组件250的一部分。
图1A所示的处理系统1的干燥系统10和固化系统20中的至少一个包括至少两个可使衬底从其中穿过的转移开口。如图1A所示,干燥系统10包括两个转移开口,第一转移开口可使衬底在干燥系统10与转移系统30之间转移,第二转移开口可使衬底在干燥系统与固化系统之间转移。然而,对于图1B所示的处理系统100和图1C所示的处理系统200,每个处理系统110、120、140和210、220、240分别包括至少一个可使衬底从其中穿过的转移开口。
现在参见图2,图2示出了根据本发明的另一种实施方式的干燥系统300。干燥系统300包括干燥室310,被配置成用于提供清洁且无污染的环境以对安置在衬底支架320上的衬底325进行干燥。干燥系统300可包括与干燥室310或衬底支架320耦合的热处理装置330,被配置用于通过升高衬底325的温度来蒸发污染物(例如水分、残余溶剂等)。此外,干燥系统300可包括与干燥室310耦合的微波处理装置340,用于在振荡电场的存在下局部加热污染物。干燥工艺可利用热处理装置330和/或微波处理装置340来促进衬底325上的电介质膜的干燥。
热处理装置330可包括一个或更多个嵌入衬底支架320中并与功率源和温度控制器耦合的导电加热元件。例如,每个加热元件可包括与被配置成用于提供电功率的功率源耦合的电阻加热元件。或者,热处理装置330可包括一个或更多个与功率源和控制器耦合的辐射加热元件。例如,每个辐射加热元件可包括与被配置成用于提供电功率的功率源耦合的热灯。衬底325的温度可例如为约20-500℃,优选约200-400℃。
微波处理装置340可包括被配置成用于使微波频率扫过一定频带宽度的变频微波源。频率的变化避免了电荷积累,从而使敏感的电子器件无损伤地应用微波干燥技术。
在一个实施例中,干燥系统300可包括干燥系统,该干燥系统包括变频微波装置和热处理装置,例如可从Lambda Technologies,Inc.(860Aviation Parkway,Suite 900,Morrisville,NC 27560)购得的微波炉。关于微波炉的更多细节描述在转让给Lambda Technologies,Inc.的名称为“Curing polymer layers on semiconductor substrates using variable frequencymicrowave energy”的美国专利No.5738915中,通过引用将其全部内容结合于此。
衬底支架320可夹持或不夹持衬底325。例如,衬底支架320可采用机械方式或电方式来夹持衬底325。
再参见图2,干燥系统300还可包括与干燥室耦合的气体注射系统350,被配置成用于将净化气体引入干燥室310。净化气体可例如包括惰性气体,如稀有气体或氮气。此外,干燥系统300可包括与干燥室310耦合的真空泵送系统355,被配置成用于将干燥室310抽真空。在干燥工艺中,衬底325可处于真空或非真空条件下的惰性气氛中。
此外,干燥系统300可包括与干燥室310、衬底支架320、热处理装置330、微波处理装置340、气体注射系统350和真空泵送系统355耦合的控制器360。控制器360包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到干燥系统300的输入,以及监视来自干燥系统300的输出。存储在存储器中的程序用来根据所存储的制程配方控制干燥系统300。控制器360可用于设置任何数量的处理元件(310、320、330、340、350或355),并且控制器360可收集、提供、处理、存储和显示来自处理元件的数据。控制器360可包括大量用于控制一个或更多个处理元件的应用程序。例如,控制器360可包括图形用户界面(GUI)部件(未示出),该部件可为用户提供界面以便于监测和/或控制一个或更多个处理元件。
现在参见图3,图3示出了根据本发明的另一种实施方式的固化系统400。固化系统400包括固化室410,被配置成用于提供清洁且无污染的环境以对安置在衬底支架420上的衬底425进行干燥。固化系统400还包括两个或更多个辐射源,被配置成用于将具有电介质膜的衬底425暴露于多种EM波长的电磁(EM)辐射中。所示两个或更多个辐射源可包括红外(IR)辐射源440和紫外(UV)辐射源445。衬底可以同时、依次或彼此交错地暴露于UV辐射和IR辐射。
IR辐射源440可包括宽波段IR源,或可包括窄波段IR源。IR辐射源可包括一个或更多个IR灯或者一个或更多个IR激光器(连续波(CW)激光器、可调激光器或脉冲激光器),或其任意组合。IR功率可为约0.1-2000W。IR辐射波长可为约1-25微米,优选约8-14微米。例如,IR辐射源440可包括光谱输出为约1-25微米的IR元件(例如陶瓷元件或碳化硅元件),或者IR辐射源440可包括半导体激光器(二极管)或者离子、Ti:蓝宝石或染料激光器(光参量放大)。
UV辐射源445可包括宽波段UV源,或可包括窄波段UV源。UV辐射源可包括一个或更多个UV灯或者一个或更多个UV激光器(连续波(CW)激光器、可调激光器或脉冲激光器),或其任意组合。UV辐射可例如由微波源、电弧放电、电介质阻挡放电或电子碰撞产生。UV功率密度可为约0.1-2000mW/cm2。UV波长可为约100-600nm,优选约200-400nm。例如,UV辐射源445可包括光谱输出为约180-500nm的直流(DC)或脉冲灯(如氘(D2)灯),或UV辐射源445可包括半导体激光器、(氮)气体激光器、三倍频Nd:YAG激光器或铜蒸汽激光器。
IR辐射源440和/或UV辐射源445可包括任意数量的用于调节输出辐射的一种或更多种性质的光学器件。例如,每个辐射源还可包括滤光器、光学透镜、光束扩展器、光束准直器灯。适用于本发明的是光学和电磁波产品领域的技术人员已知的光学控制器件。
衬底支架420还可包括可被配置成用于升高和/或控制衬底425温度的温度控制系统。温度控制系统可以是热处理装置430的一部分。衬底支架420可包括一个或更多个嵌入衬底支架420中并与功率源和温度控制器耦合的导电加热元件。例如,每个加热元件可包括与被配置成用于提供电功率的功率源耦合的电阻加热元件。衬底支架420可选地包括一个或更多个辐射加热元件。衬底425的温度可例如为约20-500℃,优选约200-400℃。
衬底支架420可夹持或不夹持衬底425。例如,衬底支架420可采用机械方式或电方式来夹持衬底425。
再参见图3,固化系统400还可包括与固化室410耦合的气体注射系统450,被配置成用于将净化气体引入固化室410。净化气体可例如包括惰性气体,如稀有气体或氮气。或者,净化气体可包括其它气体,例如H2、NH3、CxHy或其任意组合。此外,固化系统400可包括与固化室410耦合的真空泵送系统455,被配置成用于将固化室410抽真空。在固化工艺中,衬底425可处于真空或非真空条件下的净化气体环境中。
此外,固化系统400可包括与固化室410、衬底支架420、热处理装置430、微波处理装置440、UV辐射源445、气体注射系统450和真空泵送系统455耦合的控制器460。控制器460包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到固化系统400的输入,以及监视来自固化系统400的输出。利用存储在存储器中的程序根据所存储的制程配方来控制固化系统400。控制器460可用于设置任何数量的处理元件(410、420、430、440、445、450或455),并且控制器460可收集、提供、处理、存储和显示来自处理元件的数据。控制器460可包括大量用于控制一个或更多个处理元件的应用程序。例如,控制器460可包括图形用户界面(GUI)部件(未示出),该部件可为用户提供界面以便于监测和/或控制一个或更多个处理元件。
控制器360和460可以实现为Dell Precision Workstation 610TM。控制器360和460还可以实现为通用计算机、处理器、数字信号处理器等,它们可使衬底处理设备响应于控制器360和460执行包含在计算机可读介质中的一条或多条指令的一个或多个序列而执行本发明的处理步骤的一部分或全部。计算机可读介质或存储器用于保存根据本发明的教导编程的指令并且容纳数据结构、表、记录或者上述的其它数据。计算机可读介质的示例是光盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM或者任何其他磁介质、光盘(例如CD-ROM)、或者任何其它光介质、穿孔卡片、纸带、或者具有孔图案的其它物理介质、载波(将在下面描述),或者计算机可以读取的任何其它介质。
控制器360和460可以相对于干燥系统300和固化系统400本地定位,或者可以通过互联网或内部网相对于干燥系统300和固化系统400远程定位。因此,控制器360和460可以通过直接连接、内部网和互联网中的一种方式与干燥系统300和固化系统400交换数据。控制器360和460可以在用户端(即器件制造商等)与内部网耦合,或者在卖方端(即设备制造商)与内部网耦合。此外,另一台计算机(即控制器、服务器等)也可以访问控制器360和460,从而通过直接连接、内部网和互联网中的一种方式来交换数据。
现在参见图4,图4描述了根据本发明的另一种实施方式的处理衬底上的电介质膜的方法。该方法的流程图500始于510,在510中,在第一处理系统中将衬底上的电介质膜干燥。第一处理系统包括干燥系统,被配置成用于去除或部分去除电介质膜中的一种或更多种污染物,包括例如水分、溶剂、成孔剂或可能妨碍后续固化工艺的任何其它污染物。
在520中,电介质膜在第二处理器中被固化。第二处理系统包括固化系统,被配置成用于通过使电介质膜内发生交联或部分交联来固化电介质膜,从而改善电介质膜的机械性质。干燥工艺后,为了使污染最小,在真空条件下将衬底从第一处理系统转移到第二处理系统。在第二处理系统中,衬底被暴露于UV辐射和IR辐射。此外,可选地,在干燥和固化工艺之后,在被配置成用于改性已固化的电介质膜的后处理系统中对电介质膜进行后处理。例如,后处理可包括在电介质膜上旋涂或气相沉积另一层膜,以提高对后续膜的粘附性或改善疏水性。或者,例如,可以通过在后处理系统中用离子轻度轰击电介质膜来提高粘附性。一种适用于本发明的上述后处理方法描述在名称为“Method of improving adhesion between thinfilms”的美国专利No.5714437中,通过引用将其全部内容结合于此。
尽管以上只是详细描述了本发明的某些实施例,但本领域技术人员很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下,可对示例性实施例进行许多改进。因此,所有这种改进均落入本发明的范围。

Claims (19)

1.一种处理衬底上的电介质膜的方法,包括:
通过下述处理固化所述衬底上的所述电介质膜:
将所述电介质膜暴露于红外(IR)辐射,所述红外辐射包括窄波段辐射;和
将所述电介质膜暴露于紫外(UV)辐射。
2.如权利要求1的方法,其中所述将所述电介质膜暴露于紫外辐射包括将所述电介质膜暴露于由一个或更多个UV灯、或一个或更多个UV激光器、或所述UV灯和所述UV激光器二者发出的紫外辐射。
3.如权利要求1的方法,还包括:
在所述固化之后,对所述电介质膜进行以下处理中的一个或更多个:在所述电介质膜上沉积另一个膜,清洁所述电介质膜,或将所述电介质膜暴露于等离子体。
4.如权利要求1的方法,其中所述电介质膜包括所沉积的介电常数小于4的低介电常数电介质膜。
5.如权利要求1的方法,其中所述电介质膜包括所沉积的介电常数小于2.5的低介电常数电介质膜。
6.如权利要求1的方法,其中所述将所述电介质膜暴露于红外辐射和所述将所述电介质膜暴露于紫外辐射被同时执行。
7.如权利要求1的方法,其中所述将所述电介质膜暴露于红外辐射和所述将所述电介质膜暴露于紫外辐射被依次执行。
8.如权利要求1的方法,其中所述将所述电介质膜暴露于红外辐射和所述将所述电介质膜暴露于紫外辐射被彼此交错地执行。
9.如权利要求1的方法,其中所述将所述电介质膜暴露于紫外辐射包括100-600纳米的UV波长范围。
10.如权利要求1的方法,其中所述将所述电介质膜暴露于紫外辐射包括200-400纳米的UV波长范围。
11.如权利要求1的方法,其中所述波长的窄波段位于8-14微米的波长范围内。
12.如权利要求1的方法,还包括:
加热所述衬底。
13.如权利要求12的方法,其中所述加热包括将所述衬底的温度升至20-500℃。
14.如权利要求12的方法,其中所述加热包括将所述衬底的温度升至200-400℃。
15.如权利要求12的方法,其中在所述将所述电介质膜暴露于紫外辐射期间进行所述加热。
16.如权利要求12的方法,其中在所述将所述电介质膜暴露于红外辐射期间进行所述加热。
17.如权利要求11的方法,还包括:
控制所述衬底的温度。
18.如权利要求17的方法,还包括;
在所述控制期间将所述衬底夹持到衬底支架。
19.如权利要求1的方法,其中所述电介质膜包括多孔低介电常数(低k)膜。
CN201310341426.9A 2005-11-09 2006-10-06 用于固化电介质膜的多步系统和方法 Pending CN103489813A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/269,581 US7622378B2 (en) 2005-11-09 2005-11-09 Multi-step system and method for curing a dielectric film
US11/269,581 2005-11-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800507908A Division CN101517708A (zh) 2005-11-09 2006-10-06 用于固化电介质膜的多步系统和方法

Publications (1)

Publication Number Publication Date
CN103489813A true CN103489813A (zh) 2014-01-01

Family

ID=38004345

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310341426.9A Pending CN103489813A (zh) 2005-11-09 2006-10-06 用于固化电介质膜的多步系统和方法
CNA2006800507908A Pending CN101517708A (zh) 2005-11-09 2006-10-06 用于固化电介质膜的多步系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2006800507908A Pending CN101517708A (zh) 2005-11-09 2006-10-06 用于固化电介质膜的多步系统和方法

Country Status (6)

Country Link
US (5) US7622378B2 (zh)
JP (1) JP5496512B2 (zh)
KR (1) KR101291017B1 (zh)
CN (2) CN103489813A (zh)
TW (1) TWI360832B (zh)
WO (1) WO2007055849A2 (zh)

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099827A1 (en) * 2004-11-05 2006-05-11 Yoo Woo S Photo-enhanced UV treatment of dielectric films
US20060273265A1 (en) * 2005-05-11 2006-12-07 Ronald Lipson UV curing system with remote controller
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090004368A1 (en) * 2007-06-29 2009-01-01 Weyerhaeuser Co. Systems and methods for curing a deposited layer on a substrate
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
DE112009000518T5 (de) * 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US8463116B2 (en) * 2008-07-01 2013-06-11 Tap Development Limited Liability Company Systems for curing deposited material using feedback control
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
WO2010033469A2 (en) * 2008-09-16 2010-03-25 Tokyo Electron Limited Dielectric material treatment saystem and method of operating
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
JP5560325B2 (ja) * 2010-04-01 2014-07-23 株式会社アルバック 真空処理装置及び低誘電率膜作製装置
JP2012104703A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367238B (zh) * 2012-03-31 2016-02-03 中芯国际集成电路制造(上海)有限公司 一种低k介质层及其形成方法
CN103420333A (zh) * 2012-05-15 2013-12-04 中国科学院微电子研究所 利用微波干燥纳米图形的方法及其装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN104603914B (zh) * 2012-09-07 2017-07-14 应用材料公司 多腔室真空系统中的多孔电介质、聚合物涂布基板和环氧化物的集成处理
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10147640B2 (en) 2014-03-11 2018-12-04 Tokyo Electron Limited Method for removing back-filled pore-filling agent from a cured porous dielectric
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101818721B1 (ko) 2015-03-27 2018-02-21 에이피시스템 주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조 방법
US9810480B2 (en) * 2015-06-12 2017-11-07 Targeted Microwave Solutions Inc. Methods and apparatus for electromagnetic processing of phyllosilicate minerals
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018230806A1 (ko) * 2017-06-14 2018-12-20 주식회사 케이씨텍 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383946A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种纳米图形快速固化装置
CN111380332A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种具有功率自适应调节的微波干燥装置
CN111380331A (zh) * 2018-12-29 2020-07-07 中国科学院微电子研究所 一种微波干燥装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200127078A (ko) * 2019-04-30 2020-11-10 세메스 주식회사 기판 처리 방법, 기판 처리 장치 및 기판 처리 설비
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN110718486B (zh) * 2019-10-17 2022-10-04 沈阳硅基科技有限公司 一种薄膜转移方法
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
JP2003151969A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US20030129542A1 (en) * 2001-10-31 2003-07-10 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487780A (en) * 1987-09-30 1989-03-31 Mitsubishi Metal Corp Production of thin film of composite metal oxide
JPH01309335A (ja) * 1988-06-08 1989-12-13 Hitachi Ltd 塗布絶縁膜の形成方法
TW276353B (zh) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US6413883B1 (en) 1996-03-04 2002-07-02 Symetrix Corporation Method of liquid deposition by selection of liquid viscosity and other precursor properties
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH10279362A (ja) 1997-03-31 1998-10-20 Tonen Corp SiO2系セラミックス膜の形成方法
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
JP2001214127A (ja) 2000-01-31 2001-08-07 Dow Corning Toray Silicone Co Ltd 電気絶縁性薄膜形成性樹脂組成物、および電気絶縁性薄膜の形成方法
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030224544A1 (en) 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
JP3726071B2 (ja) * 2002-06-05 2005-12-14 東京エレクトロン株式会社 熱処理方法
JP4209658B2 (ja) * 2002-10-22 2009-01-14 東京エレクトロン株式会社 基板処理装置
KR100541179B1 (ko) 2003-02-03 2006-01-11 삼성전자주식회사 유전막 형성 장치 및 방법
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7223670B2 (en) 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7166963B2 (en) 2004-09-10 2007-01-23 Axcelis Technologies, Inc. Electrodeless lamp for emitting ultraviolet and/or vacuum ultraviolet radiation
US7081638B1 (en) 2004-10-25 2006-07-25 Advanced Micro Devices, Inc. System and method to improve uniformity of ultraviolet energy application and method for making the same
US20060165904A1 (en) 2005-01-21 2006-07-27 Asm Japan K.K. Semiconductor-manufacturing apparatus provided with ultraviolet light-emitting mechanism and method of treating semiconductor substrate using ultraviolet light emission
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
WO2006132655A1 (en) 2005-06-03 2006-12-14 Axcelis Technologies, Inc. Ultraviolet curing process for low k dielectric films
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US20070264786A1 (en) 2006-05-11 2007-11-15 Neng-Kuo Chen Method of manufacturing metal oxide semiconductor transistor
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US6303524B1 (en) * 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US20030129542A1 (en) * 2001-10-31 2003-07-10 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP2003151969A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US20040096672A1 (en) * 2002-11-14 2004-05-20 Lukas Aaron Scott Non-thermal process for forming porous low dielectric constant films

Also Published As

Publication number Publication date
US9184047B2 (en) 2015-11-10
WO2007055849A2 (en) 2007-05-18
US20160027641A1 (en) 2016-01-28
KR101291017B1 (ko) 2013-07-30
US7622378B2 (en) 2009-11-24
US10068765B2 (en) 2018-09-04
KR20080067002A (ko) 2008-07-17
US20140109432A1 (en) 2014-04-24
US20100041248A1 (en) 2010-02-18
US20070105401A1 (en) 2007-05-10
JP5496512B2 (ja) 2014-05-21
JP2009520342A (ja) 2009-05-21
US20160314966A1 (en) 2016-10-27
CN101517708A (zh) 2009-08-26
TWI360832B (en) 2012-03-21
WO2007055849A3 (en) 2009-05-07
TW200735171A (en) 2007-09-16
US8642488B2 (en) 2014-02-04
US9443725B2 (en) 2016-09-13

Similar Documents

Publication Publication Date Title
CN103489813A (zh) 用于固化电介质膜的多步系统和方法
CN101816059B (zh) 使介电膜固化的方法
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
CN101960556B (zh) 用于固化多孔低介电常数电介质膜的方法
US8956457B2 (en) Thermal processing system for curing dielectric films
US7666754B2 (en) Method and system for forming an air gap structure
JP2016167633A (ja) 低誘電率絶縁体を集積するための方法
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140101

WD01 Invention patent application deemed withdrawn after publication