KR20120098777A - 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법 - Google Patents

작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법 Download PDF

Info

Publication number
KR20120098777A
KR20120098777A KR1020127015129A KR20127015129A KR20120098777A KR 20120098777 A KR20120098777 A KR 20120098777A KR 1020127015129 A KR1020127015129 A KR 1020127015129A KR 20127015129 A KR20127015129 A KR 20127015129A KR 20120098777 A KR20120098777 A KR 20120098777A
Authority
KR
South Korea
Prior art keywords
plasma
workpiece
temperature
fluorine
less
Prior art date
Application number
KR1020127015129A
Other languages
English (en)
Other versions
KR101908737B1 (ko
Inventor
데이비드 청
테드 라이
아니르반 구하
커크 오스트로스키
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20120098777A publication Critical patent/KR20120098777A/ko
Application granted granted Critical
Publication of KR101908737B1 publication Critical patent/KR101908737B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

포토레지스트를 스트립핑하고 에칭-관련 잔류물을 절연 물질로부터 제거하기 위한 개선된 방법이 제공된다. 본 발명의 한 양상에서, 방법은 약산화제 및 불소-함유 화합물을 사용하는 수소-기초 에칭 공정을 사용하여 절연층으로부터 물질을 제거하는 것을 포함한다. 기판 온도는 약 160℃ 또는 그 미만, 예컨대 약 90℃ 미만의 수준에서 유지된다.

Description

작은-K 절연체를 위한 저손상 포토레지스트 스트립 방법{LOW DAMAGE PHOTORESIST STRIP METHOD FOR LOW-K DIELECTRICS CROSS-REFERENCE TO RELATED APPLICATION}
관련 출원의 상호-참조
본 출원은 2009.12.11. 출원된 미국 특허 출원 12/636,601의 우선권을 주장하며, 상기 문헌은 참고문헌으로 본 명세서에 수록된다.
배경
본 발명은 포토레지스트 물질을 스트립(strip)하고 에칭-관련 잔류물을 추가 공정을 위한 제조에서 부분적으로 제작된 집적 회로의 표면으로부터 제거하는 방법에 관한 것이다.
상감 공정 기술(Damascene processing technique)이 많은 현대 집적 회로 제조 설계에서 흔히 바람직한 방법인데 왜냐하면 이러한 기술은 다른 방법에 비해 더 적은 공정 단계를 요구하며 더 높은 수득률을 제공하기 때문이다. 상감 공정은 트렌치 내에 인레이드 금속 배선(inlaid metal line)을 형성하고 절연층 (금속간 절연체(inter-metal dielectric)) 내에 비아(via)를 형성함으로써 집적 회로 상에 금속 컨덕터를 형성하는 것을 포함한다. 상감 공정의 일부로서, 포토레지스트의 층이 절연층 상에 증착된다. 포토레지스트는 액체 형태로 "스펀 온(spun on)"될 수 있고 건조되어 고체 박막이 되는 감광성 유기 폴리머(light-sensitive organic polymer)이다. 따라서 감광성 포토레지스트는 마스크를 통과하는 빛 및 습식 용매를 사용하여 패턴화된다. 그 후 플라즈마 에칭 공정(건식 에칭)을 사용하여 절연체의 노출된 부분을 에칭하여 패턴을 절연체에 전이시켜, 절연층 내에 비아 및 트렌치를 형성한다.
일단 절연층이 에칭되면, 포토레지스트는 스트립되어야 하고 모든 에칭-관련 잔류물은 후속 공정 이전에 완전하게 제거되어서 소자에게 불순물을 제공하는 것을 방지하여야만 한다. 포토레지스트를 스트립하기 위한 종래 공정은 플라즈마 내 산소의 존재하에 기체의 혼합물로부터 형성된 플라즈마를 사용한다. 고도 반응성 산소 기초 플라즈마는 유기 포토레지스트와 반응하고 이를 산화시켜 웨이퍼 표면으로부터 제거되는 휘발성 성분을 형성한다.
고도 산화 상태는 또한 작은 유전 상수(작은-k) 물질에 대한 사용으로는 일반적으로 적절하지 않다. 작은-k 물질은 축전 효과(capacitive effect)로 인한 신호 전파의 딜레이를 감소시키기 위하여 많은 현대 기기 내 전도성 연결 사이의 금속간(inter-metal) 및/또는 층간(inter-layer) 절연체로서 사용되어 왔다. 절연 물질의 유전 상수가 낮을수록, 절연체의 커패시턴스가 낮고 집적 회로의 RC 딜레이가 낮아진다. 전형적으로, 작은-k 절연체는 흔히 탄소 도핑된 산화물(carbon doped oxide, CDO)로 불리는 소정 양의 고정 탄소(incorporated carbon)를 갖는 실리콘-산화물계 물질이다. 비록 필수적인 것은 아니지만, 산소는 작은-k 물질로부터 탄소를 청소 또는 제거하는 것으로 여겨진다. CDO와 같은 많은 이러한 물질에서, 탄소의 존재는 작은 유전 상수를 제공하는데 수단이 된다. 따라서, 산소가 이러한 물질로부터 탄소를 제거하는 그 정도까지, 유전 상수를 효과적으로 증가시킨다. 집적 회로를 제작하기 위하여 사용되는 공정이 더욱 소규모의 치수를 지향하고 더 작은 유전 상수를 갖는 절연 물질의 사용을 요구하기 때문에, 종래 스트립 플라즈마 조건은 적절하지 않는다는 것이 밝혀졌다.
따라서 절연 물질, 특히 작은-k 절연 물질로부터 포토레지스트 및 에칭-관련 물질을 스트립하기 위한, 개선되고 효과적인 방법이 요구된다.
발명의 개요
본 발명은 절연 물질로부터 포토레지스트를 스트립하고 에칭-관련 잔류물을 제거하기 위한 개선된 방법을 제공함으로써 전술한 요구를 해결한다. 본 발명의 한 양상에서, 방법은 약산화제 및 불소-함유 화합물을 사용하는 수소-기초 에칭 공정을 사용하여 절연층으로부터 물질을 제거하는 것을 포함한다. 기판 온도는 약 160℃ 또는 그 미만, 예컨대 약 90℃ 미만의 수준으로 유지된다.
일부 구체 예에서, 방법은 약산화제, 불소-함유 화합물 및 수소를 포함하는 기체를 반응 챔버 내에 도입하는 단계, RF 전력을 인가하여 반응 챔버 내에 플라즈마를 형성시켜 물질의 적어도 일부분을 기체상태로 전환시키는 단계, 및 이에 따라 상기 물질의 적어도 일부분을 부분적으로 제작된 집적 회로로부터 제거하는 단계를 포함한다. 전술한 바와 같이, 방법은 에칭 공정으로부터 포토레지스트 및/또는 잔류물을 제거하기 위하여 사용될 수 있다. 방법은 단일 또는 이중 상감 장치를 비롯하여 상감 장치에서 효과적으로 수행될 수 있다.
여러 구체 예에 따르면, 약산화제는 이산화탄소, 일산화탄소, 아산화질소(nitrous oxide), 산화질소(nitric oxide), 이산화질소(nitrogen dioxide) 및 물 중 적어도 하나를 포함한다. 특정 구체 예에서, 약산화제는 이산화탄소를 포함한다. 특정 구체 예에서, 기체는 약 0.1 부피% 내지 약 10.0 부피% 이산화탄소를 포함한다. 일부 구체 예에서, 기체는 헬륨, 아르곤 또는 질소와 같은 최소 1종의 불활성 운송 기체를 더욱 포함한다. 특정 구체 예에서, 기체는 산소 분자를 포함하지 않는다.
여러 구체 예에 따르면, 불소-함유 화합물은 삼불화질소 (NF3), 육불화황 (SF6), 헥사플로오로에탄 (C2F6), 테트라플루오로메탄 (CF4), 트리플루오로메탄 (CHF3), 디플루오로메탄 (CH2F2), 옥토플루오로프로판 (C3F8), 옥토플루오로사이클로부탄 (C4F8), 옥토플루오로[1-]부탄 (C4F8), 옥토플루오로[2-]부탄 (C4F8), 옥토플루오로이소부틸렌 (C4F8), 불소 (F2), 및 이와 유사한 것 중 적어도 하나를 포함한다. 특정 구체 예에서, 약산화제는 삼불화질소를 포함한다. 특정 구체 예에서, 기체는 약 5 ppm 내지 약 10 부피% 삼불화질소를 포함한다.
본 발명의 방법은 임의 크기 웨이퍼에서 수행될 수 있다. 가장 최근의 웨이퍼 제작 설비는 200 mm 또는 300 mm 웨이퍼를 사용한다. 공정 조건은 웨이퍼 크기에 따라 변할 수 있다. 300 mm 웨이퍼가 사용되는 경우, 기체의 전체 유량은 약 1,000 sccm 내지 약 40,000 sccm 범위일 수 있다. 이산화탄소가 약산화제로서 사용되는 경우, 이산화탄소의 유량은 약 10 sccm 내지 약 2000 sccm, 예컨대, 800 sccm일 수 있다. 삼불화질소가 불소-함유 기체로서 사용되는 경우, 삼불화질소의 유량은 약 1 내지 20 sccm, 예컨대, 5 sccm 범위일 수 있다. 전형적으로, 300 mm 웨이퍼용 RF 플라즈마 전력은 플라즈마에 대하여 약 300 와트 내지 약 3 킬로와트 범위이다. 방법은 직접 또는 원격 플라즈마를 사용하여 수행될 수 있다.
워크 피스 표면에 플라즈마를 인가하는 동안, 기판 온도는 약 50℃ 내지 약 160℃ 범위일 수 있다. 특정 구체 예에서, 워크 피스 온도는 약 90℃ 또는 그 미만에서 유지된다. 예시적인 챔버 압력은 약 300 mTorr 내지 약 2 Torr 범위일 수 있다. 일부 구체 예에서, 웨이퍼는 바이어스에 고정된다.
전술한 바와 같이, 본 발명의 방법은 탄소-도핑된 산화물(CDO)과 같은 탄소-도핑된 작은-k 절연 물질을 비롯하여, 작은-k 절연 물질에 대하여 사용될 수 있다. 본 발명의 방법은 CDO 및 또 다른 조성물을 비롯하여, 비-공극성 및 공극성 절연 물질 둘 모두에 대하여 수행될 수 있다.
본 발명의 방법은 임의 적절한 반응 챔버에서 수행될 수 있다. 반응 챔버는 다중-챔버 장치의 하나의 챔버일 수 있거나 또는 단일 챔버 장치의 부분일 수 있다. 일부 구체 예에서, 다-단계 제거 공정이 사용되며, 여기서 플루오라이드-함유 화합물이 상기 단계의 단지 서브셋에서 사용된다. 특정 구체 예에서, 플루오라이드-함유 화합물은 단계의 제1 세트, 예컨대 제1 단계에서만 사용된다. 멀티-스테이션 장치가 사용되는 구체 예에서, 플루오라이드-함유 화합물은 예를 들면, 제1 스테이션에서 플라즈마를 생성하기 위하여 사용되는 공정 기체의 일부로서 사용될 수 있다.
본 발명의 이러한 특징 및 또 다른 특징 그리고 장점들은 첨부된 도면을 참조하여 이하에서 더욱 상세하게 설명될 것이다.
도면의 간단한 설명
도 1은 부분적으로 제작된 집적 회로로부터 포토레지스트를 스트립하고 에칭-관련 잔류물을 제거하기 위하여 사용되는 본 발명의 일부 구체 예의 양상을 나타내는 공정 흐름도이다.
도 2A-2C는 본 발명에 따르는 건식 에칭 및 포토레지스트 스트립 공정 동안의 작은-k 상감 소자의 횡단면 표면을 나타낸다.
도 3A 및 3B는 본 발명에 따르는 포토레지스트 스트립 및 HF-테스트 공정 이후 건조 동안 작은-k 소자의 횡단면 표면을 나타낸다.
도 4A는 본 발명의 실시에 적합한 장치를 나타내는 개략적인 표현이다.
도 4B는 본 발명의 실시에 적합한 멀티-스테이션 스트립핑 기구를 나타내는 단순한 블록 다이어그램이다.
상세한 설명
도입
이하의 본 발명의 상세한 설명에서, 수많은 구체 예가 본 발명의 완전한 이해를 제공하기 위하여 제공된다. 그렇지만, 해당 분야의 통상의 기술자에게 명백하듯이, 본 발명은 이러한 구체적인 상세사항 없이 실시될 수 있거나 또는 대안적인 요소 또는 공정을 사용하여 실시될 수 있다. 다른 실시예에서 공지된 공정, 과정 및 성분을 본 발명의 양상을 불필요하게 불명료하게 하는 것을 방지하기 위하여 상세하게 설명하지 않았다.
본 출원에서, 용어 "반도체 웨이퍼", "웨이퍼" 및 "부분적으로 제작된 집적 회로"는 상호교환적으로 사용될 것이다. 해당 업계의 통상의 기술자는 용어 "부분적으로 제작된 집적 회로"가 집적 회로 제작의 많은 임의 단계 동안 실리콘 웨이퍼를 의미할 수 있음을 이해할 것이다. 이하의 상세한 설명은 본 발명이 웨이퍼 상에서 수행되는 것을 가정한다. 그렇지만, 본 발명이 여기에 제한되는 것은 아니다. 워크 피스는 다양한 모양, 크기, 및 물질일 수 있다. 반도체 웨이퍼에 추가하여, 본 발명을 사용할 수 있는 또 다른 워크 피스는 예컨대 인쇄 회로 기판 등과 같은 다양한 물품을 포함한다.
전술한 바와 같이, 본 발명의 방법은 작은-k 물질로부터 포토레지스트 및 에칭-관련 물질을 효율적이고 효과적으로 제거하기 위하여 사용될 수 있다. 본 발명의 방법은 작은-k 절연체에 제한되지 않는다. 방법은 또한 작은-k 절연체의 임의 특정한 카테고리에 제한되지 않는다. 예를 들어, 기재된 방법은 4.0 미만의 k 값을 갖는 절연체, 약 2.8 미만의 k 값을 갖는 절연체, 및 약 2.0 미만의 k 값을 갖는 절연체("초-저-k" 또는 ULK 절연체)에서 효과적으로 사용될 수 있다. 작은-k 절연체는 공극성 또는 비-공극성(가끔 "치밀한" 작은-k 절연체)일 수 있다. 일반적으로, 작은-k 치밀 절연체는 2.8 이하의 k 값을 갖는 것들이며 작은-k 공극성 절연체는 2.2 이하의 k 값을 갖는 것들이다. 불소 및/또는 탄소로 도핑된 실리콘 산화물계 절연체를 비롯하여, 임의 적절한 조성의 작은-k 절연체가 사용될 수 있다. 비-실리콘 산화물계 절연체, 예컨대 폴리머 물질이 또한 사용될 수 있다. 스핀-온 증착 및 CVD 증착 기술을 비롯하여, 임의 적절한 공정이 작은-k 절연체를 증착하기 위하여 사용될 수 있다. 공극성 절연체를 형성하는 경우에, 임의 적절한 방법이 사용될 수 있다. 한 가지 전형적인 방법은 실리콘계 골격과 유기 포로겐(organic porogen)을 함께-증착하는 단계 및 후속하여 포로겐 성분을 제거하여 공극성 절연체 필름을 잔류시키는 단계를 포함한다. 또 다른 방법은 졸-겔(sol-gel) 기술을 포함한다. 적절한 작은-k 필름의 구체적인 예는 SILK™과 같은 탄소계 스핀-온 타입 필름 및 Coral™과 같은 CVD 증착된 공극성 필름이다.
본 발명의 방법은 수소 및 약산화제, 그리고 일부 공정 조건에서 불소-함유 화합물을 함유하는 기체로부터 생성된 플라즈마를 사용한다. 해당 분야의 통상의 기술자는 플라즈마 내에 존재하는 실제 화학종이 수소, 약산화제 및/또는 불소-함유 화합물로부터 유도된 서로 다른 이온 및 분자의 혼합물일 수 있음을 인식할 것이다. 플라즈마가 유기 포토레지스트 및 또 다른 잔류물과 반응하고 붕괴함에 따라 예컨대 소량의 탄화수소, 이산화탄소, 수증기 및 또 다른 휘발성 성분과 같은 또 다른 화학종이 반응 챔버 내에 존재할 수 있음에 유의하라. 해당 분야의 통상의 기술자는 플라즈마로 도입되는 초기 기체/기체들에 대한 참조(reference)가 플라즈마가 형성된 이후 존재할 수 있는 다른 기체/기체들과 다르다는 것을 인식할 것이다.
공정
도 1은 본 발명의 일부 구체 예를 따르는 일반적인 고-수준 공정 흐름을 나타내는 흐름도이다. 집적 회로 (IC) 제작에 포함되는 본 발명의 방법과 관련된 일부 전형적인 작업이 또한 도 1에 포함되어 본 발명이 어떻게 사용될 수 있는지에 대한 설명을 제공g하는 것에 주목하라. 본 발명의 일부 구체 예의 시각적 설명을 제공하기 위하여, 도 2A 내지 도 2C는 다양한 적절한 제작 공정 동안 작은-k 상감 소자의 일부분의 횡단면를 나타낸다.
도 1을 참조하면, 작은-k 절연층의 노출된 영역을 갖는 웨이퍼를 에칭하여 패턴화된 포토레지스트 층을 그 위에 잔류시키는 것이 제공된다(블록 (101)). 도 2A 및 2B는 상감 소자(200) 처리공정의 과정 중에 패턴화된 작은-k 절연체의 형성을 도시한다. 도 2A는 건식 에칭 공정 이전의 소자(200)를 나타내고 도 2B는 건식 에칭 공정 이후의 소자(200)를 나타낸다. 도 2B는 도 1의 블록(101)에서 제공된 소자의 상태에 대응한다.
도 2A를 참조하면, 층(201)은 그 위에 증착된 작은-k 절연층(203)을 가지며, 이는 그 위에 증착된 포토레지스트(205)의 일부분을 가진다. 특정한 집적화 설계에 따라, 하부 층(201)은 금속 층 예컨대 구리, 에칭 정지 층 예컨대 실리콘 카바이드 또는 실리콘 나이트라이드, 또는 또 다른 유형의 층일 수 있다. 포토레지스트(205)를 UV 광 리소그라피(또는 또 다른 적절한 공정)를 사용하여 미리 패턴화시켜 작은-k 절연층(203)의 노출된 영역을 남겨두었다. 그 후 소자(200)를 전형적으로 스퍼터 에칭, 플라즈마 에칭 또는 반응성 이온 에칭 중 하나인 건식 에칭 공정을 거치게 한다.
도 2B에 도시된 바와 같이, 건식 에칭 공정 이후, 산출된 소자(200)는 매우 작은-k 절연층(203) 내에 에칭된 피처(210)를 가진다. 포토레지스트 부분(205)은 추가 웨이퍼 가공 이전에 스트립 되어야만 한다. 노출된 포토레지스트 부분(205)의 상단 및 측면은 스킨(207)을 가지는데 이는 일부 건식 에칭 공정의 결과로서 포토레지스트의 비교적 단단한 영역이며 벌크 포토레지스트 부분(205)과 조성적으로 다를 수 있다. 스킨은 전형적으로 작은-k 절연체(203)로부터 재-증착된 절연체 잔류물 및 포토레지스트(205)로부터 재-증착된 폴리머 잔류물로 구성된다. 스킨에 부가하여, 필름(209)이 또한 작은-k 절연체(203)의 노출된 측벽을 덮도록 형성될 수 있다. 이러한 필름은 전형적으로 폴리머 잔류물 및 건식 에칭 공정 동안 이온 충격(ion bombardment)에 의한 작은-k 절연체의 손상된 일부분으로 형성된다.
다시 도 1을 참조하면, 포토레지스트를 포토레지스트의 제1 영역에 대하여 스트립 한다(블록 (103)). 특정 구체 예에서, 이러한 제1 영역은 에칭 공정으로부터 형성된 스킨을 포함하며 일반적으로 제거하기 더 어렵다. 본 작업에서 웨이퍼를 약산화제 및 불소-함유 화합물을 갖는 수소-기초 플라즈마에 노출시킨다. 예를 들어, 특정 구체 예에서, 웨이퍼를 H2/CO2/NF3 플라즈마에 노출시킨다. 이하에서 더욱 설명하듯이, 비교적 소량의 불소-함유 화합물이 본 작업에서 사용된다. 한 실시예에서, H2 유량은 약 20,000 sccm (20 slpm)이며, CO2 유량은 800 sccm (0.8 slpm)이며, NF3 유량은 5 sccm이다. 각각의 유량은 특정 구체 예에 따라 변한다. H2 유량은 CO2 유량의 10의 2승 배 더 크고, NF3 유량의 10의 4승 배 더 크다. 특정 구체 예에서, CO2 유량은 NF3 유량의 최소 10의 1승 배 더 크다. 이러한 범위는 다른 약산화제 및 불소-함유 화합물에 적절하게 적용될 수 있다.
본 작업은 전형적으로 에칭이 일어나는 챔버와 다른 반응 챔버에서 수행된다. 이러한 반응 챔버는 독립형(stand-alone) "스트립 유닛"으로 불릴 수 있다. 적절한 플라즈마 반응 챔버가 구비된 임의 장치가 사용될 수 있다. 시스템은 직접(인 시츄 플라즈마) 또는 원격 플라즈마를 제공할 수 있다.
작업(103)이 특정 구체 예에서 에칭이 일어난 동일 반응 챔버에서 수행되고 전형적으로 산소-기초 플라즈마에 대한 노출을 포함하는 종래 산소-기초 스트립을 대체하기 위하여 사용될 수도 있음에 주목하여야 한다. 이러한 산화 부분 스트립 작업은 일부 작은-k 절연 물질을 손상시킬 수 있으며, 특정 실행에서는 수행되지 않을 수 있다. 따라서 특정 구체 예에서, 에칭 챔버에서의 이러한 스트립 공정을 사전에 거치지 않고 웨이퍼를 작업(101)에 제공한다.
도 1을 다시 참조하면, 그 다음 작업은 웨이퍼를 약산화제가 있는 수소-기초 플라즈마에 노출시켜 벌크 포토레지스트를 스트립 하고 및/또는 에칭-관련 물질을 제거하는 것이다(블록 (105)). 특정 구체 예에서, 이전의 작업과는 달리, 본 작업에서 불소가 존재하지 않는다. 특정 구체 예에서, 포토레지스트 및 잔류물의 벌크가 본 작업에서 제거되며, 본 작업은 그 자체가 다중 서브-작업을 포함할 수 있다.
기체의 전체 유량, 약산화제 및 불소-함유 화합물의 상대량 및 스트립 챔버의 또 다른 조건은, 다른 인자들 중에서, 플라즈마 종류(하류 대 직접), RF 전력, 챔버 압력, 기판(웨이퍼) 크기 및 사용된 약산화제의 종류에 따라 변할 수 있다. Novellus Gamma™ 시스템(하류 플라즈마 시스템)을 사용하는 일부 실시예에서, 플라즈마는 약 0.1 부피% 내지 10 부피% 이산화탄소 및 약 5ppm 내지 10 부피% 삼불화질소(존재하는 경우)를 포함할 수 있다.
수소, 약산화제, 및 불소-함유 기체에 부가하여, 헬륨, 아르곤 또는 질소와 같은 운송 기체가 사용될 수 있다. 운송 기체는 전형적으로 비-반응성 기체이다. 배송 및 조작 안정성 이유 때문에, 헬륨과 같은 노블 가스(noble gas)와의 혼합물로서 시판 중인 수소가 사용될 수 있다. 이러한 시판 중인 기체 혼합물이 본 발명의 방법에 대하여 사용될 수 있다.
포토레지스트 및 에칭 잔류물의 대부분이 작업(105)에서 플라즈마 스트립 동안 제거된 이후, 1회 이상의 추가 플라즈마 스트립 또는 습식 세정 작업이 수행될 수 있다. 멀티-스테이션 장치에 있어서, 작업(103 및 105)은 각각 하나 또는 그 이상의 스테이션에서 수행될 수 있음에 주목하라.
웨이퍼는 전형적으로 플라즈마에 대한 노출 동안 온도 제어된다. 특히, 온도는 약 200 ℃ 이하, 약 160℃ 이하, 약 150℃ 이하, 약 140℃ 이하, 약 130℃, 약 120℃ 이하, 약 110℃ 이하, 약 100℃ 이하, 약 90℃ 이하, 약 80℃ 이하, 또는 약 60℃ 이하이다. 특정 구체 예에서, 기판은 약 90℃ 이하의 온도에서 유지된다. 이러한 비교적 낮은 온도가 특정 구체 예에서 ULK 필름에 대한 상당한 손상을 방지하기 위하여 중요하다는 것이 밝혀졌다.
도 3A는 전술한 바와 같이 포토레지스트 제거 이후, 패턴화된 매우 작은-k 절연층(303), 하드 마스크 층(315), 및 실리콘 카바이드 층(301)을 도시한다. 함몰 피처(310)가 작은-k 절연층(303) 내로 에칭되며, 이는 비아 또는 트렌치일 수 있다. 피처(310)는 측벽(317)과 바닥(319)을 포함한다. 포토레지스트 제거가 너무 높은 온도에서 일어나는 경우, 측벽(317) 근처의 작은-k 물질이 손상된다는 것이 밝혀졌다. 이러한 손상이 시험되는 한 방식은 예컨대 45초 동안 HF의 100:1 희석으로, HF 딥(HF dip)에 의한 것이다. 한 실시예에서, 전술한 포토레지스트 제거 공정은 90℃에서 수행되었으며 동일 화학을 사용하나 280℃에서 수행되는 공정과 비교되었다. 도 3B는 280℃에서 스트립 된 피처의 프로파일을 나타내는 (317"), 및 90℃에서 스트립 된 피처의 프로파일을 나타내는 (317)를 갖는 결과를 도시한다. 프로파일 (317)이 갓-스트립 된(as-stripped) 피처 프로파일로부터 실질적으로 변화되지 않았음이 밝혀진 한편, 프로파일 (317")은 안쪽으로 구부러진다. 이러한 두 개의 프로파일 사이의 영역은 고온 스트립핑 공정 하에서 손상되는 영역이다. 더 높은 온도의 공정은 또한 에칭 중지 물질의 일부 양을 피처의 바닥으로부터 제거할 수도 있다.
더 높은 온도는 더 빠른 에칭 속도를 허용하나; 그렇지만, 온도가 증가함에 따라 더 많은 불소가 요구된다는 것이 밝혀졌다. 이러한 결과로, 절연체에 대한 손상이 증가한다. 그렇지만, 손상은 저온의 사용으로부터 기인하는 긴 노출 시간에 의해 일어날 수도 있다. 그렇지만, 전술한 범위 내의 온도가 이러한 경쟁 효과의 압박 내에서 손상을 방지 또는 감소시킬 수 있음이 밝혀졌다.
한 실시예에서, 아래의 공정 조건이 적은 손상의 스트립을 제공하기 위하여 사용되었다:
스테이션 1: 20 slpm H2/0.8 slpm CO2/5 sccm NF3
스테이션 2-5: 20 slpm H2/0.8 slpm CO2
스테이션 1-5: 0.9 Torr / 90℃ / 3.5 kW RF 플라즈마 / 103 초/스테이션(seconds per station)
특정 구체 예에서, 온도는 불소-함유 플라즈마에 대한 노출 이후 하나 이상의 작업 동안 증가된다. 예를 들어, 160℃ 미만 또는 90℃ 미만의 온도가 불소-기초 플라즈마에 대한 노출 동안 사용될 수 있으며, 그리고 불소-부존재 플라즈마를 사용하는 하나 이상의 작업에 대한 노출 이전 또는 노출 동안 상승된다. 특정 구체 예에서 온도는 후속하는 스테이션이 앞선 스테이션보다 더 높은 온도를 사용하는 스테이지에서 상승될 수 있다. 더 높은 온도는 전술한 범위 이내이거나, 또는 전술한 범위보다 더 높을 수 있다. 예를 들어, 특정 구체 예에서, 후속하는 스테이션은 285℃와 같은 더 높은 온도를 사용할 수 있다. 그렇지만, 많은 구체 예에서, 온도는 스트립 공정 전반에서 낮은 온도에서 유지된다.
전술한 설명은 포토레지스트 및 에칭-관련 잔류물을 수소 기체로부터 생성된 플라즈마에 노출시키는 것을 포함하는 특정 공정에서, 저온의 수소-기초 플라즈마를 사용하여 포토레지스트를 제거하는 실시예를 제공하며, 여기서 웨이퍼의 온도는 저온으로 유지되는데, 예를 들면 약 200℃ 미만, 약 160℃ 미만, 약 150℃ 미만, 약 140℃ 미만, 약 130℃ 미만, 약 120℃ 미만, 약 110℃ 미만, 약 100℃ 미만, 약 90℃ 미만, 약 80℃ 미만, 또는 약 60℃ 미만으로 유지된다. 특정 구체 예에서, 플라즈마를 생성하기 위하여 사용되는 기체는 하나 이상의 작업에서 본질적으로 수소 기체로 구성된다. 대안적인 구체 예에서, 약산화제, 불소-함유 기체 및 운송 기체 중 하나 이상이 전술한 바와 같이 하나 이상의 작업에서 수소 기체에 첨가될 수 있다. 저온 노출 작업을 위한 플라즈마를 생성하기 위하여 사용될 수 있는 공정 기체 화학물질의 예에는 H2; H2/CO2; H2/CO2/NF3 및 H2/NF3가 포함되며, 전술한 또 다른 약산화제 및 불소-함유 시약이 각각 CO2 및 NF3를 대체하거나 또는 이에 첨가될 수 있다. 존재하는 포토레지스트 및 에칭 잔류물의 특성에 따라서, 이들은 도 2A-2C에 도시된 제거 작업의 일부 또는 전부에서 사용될 수 있다.
예를 들어, "스킨"을 제거하기 위하여, 수소-기초 플라즈마를 생성하기 위하여 사용되는 기체는 본질적으로 CO2 또는 존재하는 또 다른 약산화제를 갖지 않을 수 있다. 또한 특정 구체 예에서, 본질적으로 NF3 또는 존재하는 또 다른 불소-함유 기체가 없을 수 있다. 벌크 포토레지스트를 제거하기 위한 수소-기초 플라즈마를 생성하기 위해 사용되는 기체는 본질적으로 CO2 또는 존재하는 또 다른 약산화제를 갖지 않을 수 있다. 많은 구체 예에서, 전술한 바와 같이, 본질적으로 NF3 또는 존재하는 또 다른 불소-함유 기체가 없을 수 있다. 그렇지만, 특정 구체 예에서 NF3 또는 또 다른 불소-함유 기체가 존재할 수도 있다.
장치
전술한 바와 같이, 임의 적절한 플라즈마 반응 챔버 장치가 사용될 수 있다. 적절한 플라즈마 챔버 및 시스템은 캘리포니아, 산호세에 위치한 Novellus Systems, Inc.에 의해 제공되는 Gamma 2100, 2130 I2CP (Interlaced Inductively Coupled Plasma), G400, 및 GxT를 포함한다. 또 다른 시스템은 마릴랜드, 록크빌의 Axcelis Technologies Inc.가 제공하는 퓨전 라인(Fusion line), 한국의 PSK Tech Inc.가 제공하는 TERA21, 및 캘리포니아, 프레몬트의 Mattson Technology Inc.가 제공하는 아스펜(Aspen)을 포함한다. 추가적으로, 다양한 스트립 챔버가 클러스터 툴 상에 구성될 수 있다. 예를 들어, 스트립 챔버가 캘리포니아, 산타 클라라의 Applied Materials사가 시판중인 센투라(Centura) 클러스터 툴에 추가될 수 있다.
도 4A는 웨이퍼에 대하여 본 발명을 실행하기 적절한 하류 플라즈마 장치(400)의 양상을 나타내는 개략도이다. 장치(400)는 샤워헤드 어셈블리(417)에 의해 분리된 플라즈마 공급원(411)과 노출 챔버(401)를 가진다. 노출 챔버(401) 내부에, 웨이퍼(403)가 압반(또는 스테이지)(405) 위에 놓여 있다. 압반(405)은 가열/냉각 요소가 구비되어 있다. 일부 구체 예에서, 압반(405)은 또한 바이어스를 웨이퍼(403)에 인가하도록 구성된다. 낮은 압력이 도관(407)을 통한 진공 펌프에 의해 노출 챔버(401) 내에 획득된다. 기체상태 수소(희석/운송 기체가 있거나 또는 없음), 이산화탄소(또는 또 다른 약산화제), 및 존재하는 경우 삼불화질소(또는 또 다른 불소-함유 기체)의 공급원이 기체 흐름을 유입구(409)를 통하여 장치의 플라즈마 공급원(411) 내에 제공한다. 플라즈마 공급원(411)은 유도 코일(413)에 의해 부분적으로 둘러싸이고, 상기 유도 코일(413)은 그 후 전력원(415)에 연결된다. 작업 동안, 기체 혼합물이 플라즈마 공급원(411) 내로 도입되고, 유도 코일(413)이 에너지를 공급받아 플라즈마가 플라즈마 공급원(411) 내에서 생성된다. 인가된 전압을 갖는 샤워헤드 어셈블리(417)가 일부 이온의 흐름을 중지시키고 노출 챔버(401) 내로의 중성 화학종의 흐름을 허용한다. 전술한 바와 같이, 웨이퍼(403)가 온도 제어될 수 있거나 및/또는 RF 바이어스가 인가될 수 있다. 플라즈마 공급원(411) 및 유도 코일(413)의 다양한 구성 및 형태가 사용될 수 있다. 예를 들어, 유도 코일(413)은 인터레이스 패턴(interlaced pattern)으로 플라즈마 공급원(411) 주위를 루프(loop)할 수 있다. 또 다른 예에서, 플라즈마 공급원(411)은 원통형 대신에 돔(dome)과 같은 형상일 수 있다. 제어기(450)가 공정 챔버의 성분에 연결될 수 있으며, 스트립핑 작업의 공정 기체 조성, 압력, 온도 및 웨이퍼 인덱싱(indexing)을 제어한다. 기계-판독가능한 매체가 상기 제어기에 연결될 수 있으며 이러한 작업을 위한 공정 조건을 제어하기 위한 명령을 포함할 수 있다.
전술한 바와 같이, 일부 구체 예에서, 본 발명의 장치는 포토레지스트를 웨이퍼로부터 스트립하는 것에 전용되는 스트립 유닛이다. 일반적으로, 이러한 스트립 유닛 툴은 복수의 웨이퍼 공정 스테이션을 가질 것이며 이에 따라 복수의 웨이퍼가 동시에 가공될 수 있다. 도 4B는 본 발명에 따라 사용될 수 있는 멀티-스테이션 웨이퍼 스트립 유닛 툴(430)의 평면도(top-down view)를 나타내는 단순한 블록 다이어그램이다. 스트립 유닛 툴(430)은 5개의 스트립 스테이션(433, 435, 437, 439 및 441) 및 하나의 로드 스테이션(431)을 가진다. 스트립 유닛 툴(430)은, 각각의 스테이션이 하나의 웨에퍼를 가공할 수 있고 이에 따라 모든 스테이션이 공통 진공(common vacuum)에 노출될 수 있도록 구성된다. 스트립 스테이션(433, 435, 437, 439 및 441) 각각은 자신의 RF 전력 공급원을 가진다. 로드 스테이션(431)은 전형적으로 진공의 멈춤 없이 웨이퍼를 스트립 유닛 툴(430) 내로 도입시키는 것을 가능하게 하기 위하여 상기 로드 스테이션(431)에 부착된 로드-락 스테이션이 구비된다. 로드 스테이션(431)은 또한 스트립 스테이션으로 전달하여 포토레지스트 스트립핑 하기 이전에 웨이퍼를 사전-가열하기 위한 가열 램프가 구비될 수 있다. 스트립 스테이션(441)은 전형적으로 진공의 멈춤 없이 웨이퍼를 스트립 유닛 툴(430)로부터 유출시키는 것을 가능하게 하기 위하여 상기 스트립 스테이션(441)에 부착된 로드-락 스테이션이 구비된다. 로봇 팔(443)이 웨이퍼를 스테이션으로부터 스테이션으로 전달한다.
전형적인 제조 모드 동안, 웨이퍼는 일괄식(batch mode)으로 가공된다. 일괄식 공정(Batch mode processing)은 웨이퍼 처리량을 증가시키고 이에 따라 제조 작업에서 흔히 사용된다. 일괄식에서, 각각의 웨이퍼는 스테이션(431, 433, 435, 437, 439 및 441) 각각으로 전달되고, 스테이션(431, 433, 435, 437, 439 및 441) 각각에서 가공된다. 예를 들어, 전형적인 일괄식 공정은 다음과 같이 수행된다: 웨이퍼를 먼저 로드 스테이션(431)에 로딩시키고 여기서 상기 웨이퍼를 가열 램프로 사전-가열한다. 그 후 로봇 팔(443)이 웨이퍼를 스트립 스테이션(433)으로 전달하고 여기서 상기 웨이퍼를, 불소-기초 플라즈마를 사용하여 포토레지스트의 약 1/5를 스트립하기에 충분한 시간 기간 동안 플라즈마 처리한다. 그 후 로봇 팔(443)이 웨이퍼를 스트립 스테이션(435)으로 전달하고 여기서 상기 웨이퍼를, 불소-부존재 공정을 사용하여 나머지 포토레지스트의 약 1/5를 스트립하기에 충분한 시간 기간 동안 플라즈마 처리한다. 이러한 순서가 계속되어 웨이퍼가 스트립 스테이션(437, 439 및 441)에서 가공된다. 스트립 스테이션(441)에서, 포토레지스트는 대규모로 제거되어야 하며, 그 후 웨이퍼는 스트립 유닛 툴로부터 언로딩된다.
비록 다양한 상세사항이 명확성을 위하여 생략되었으나, 다양한 설계 대안이 수행될 수 있다. 따라서, 본 실시예는 예시적인 것으로 간주되며, 한정적인 것이 아니며, 본 발명은 본 명세서에 제시된 상세사항에 제한되지 않으며, 첨부된 청구항의 범위 내에서 변형될 수 있다.

Claims (24)

  1. 에칭 공정 이후 부분적으로 제작된 집적 회로의 일부로서 워크 피스 상의 절연층으로부터 물질을 제거하는 방법에 있어서, 상기 방법은
    수소 및 불소-함유 화합물을 함유하는 기체로부터 제1 플라즈마를 생성하는 단계;
    상기 워크 피스를 상기 제1 플라즈마에 노출시키는 단계;
    수소 시약을 포함하는 기체로부터 제2 플라즈마를 생성하는 단계; 및
    상기 워크 피스를 상기 제2 플라즈마에 노출시키는 단계
    를 포함하며, 상기 워크 피스 온도는 약 160℃ 미만의 온도에서 유지되는, 방법.
  2. 제 1 항에 있어서, 상기 워크 피스 온도는 약 100℃ 미만의 온도에서 유지되는, 방법.
  3. 제 1 항에 있어서, 상기 워크 피스 온도는 약 90℃ 미만의 온도에서 유지되는, 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 상기 제1 플라즈마가 생성되는 상기 기체는 약산화제를 더욱 포함하는, 방법.
  5. 제 4 항에 있어서, 상기 약산화제는 이산화탄소, 일산화탄소, 아산화질소(nitrous oxide), 산화질소(nitric oxide), 이산화질소(nitrogen dioxide) 및 물 중 적어도 하나를 포함하는, 방법.
  6. 제 4 항에 있어서, 상기 약산화제는 이산화탄소인, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 상기 불소-함유 화합물은 삼불화질소 (NF3), 육불화황 (SF6), 헥사플로오로에탄 (C2F6), 테트라플루오로메탄 (CF4), 트리플루오로메탄 (CHF3), 디플루오로메탄 (CH2F2), 옥토플루오로프로판 (C3F8), 옥토플루오로사이클로부탄 (C4F8), 옥토플루오로[1-]부탄 (C4F8), 옥토플루오로[2-]부탄 (C4F8), 옥토플루오로이소부틸렌 (C4F8), 및 불소 (F2) 중 적어도 하나를 포함하는, 방법.
  8. 제 7 항에 있어서, 상기 불소-함유 화합물은 삼불화질소인, 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서, 상기 제2 플라즈마는 실질적으로 불소가 없는, 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 상기 워크 피스는 사전 산소-기초 플라즈마 포토레지스트 스트립핑 작업을 거치지 않는, 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서, 상기 절연층으로부터 제거되는 상기 물질은 포토레지스트 및 에칭 공정으로부터의 잔류물 중 적어도 하나를 포함하는, 방법.
  12. 에칭 공정 이후 부분적으로 제작된 집적 회로의 일부로서 워크 피스 상의 절연층으로부터 물질을 제거하는 방법에 있어서, 상기 방법은
    수소, 약산화제 및 불소-함유 화합물을 포함하는 기체로부터 제1 플라즈마를 생성하는 단계;
    상기 워크 피스를 상기 제1 플라즈마에 노출시켜 상기 워크 피스로부터 에칭-관련 잔류물을 제거하는 단계
    를 포함하며, 상기 워크 피스 온도는 상기 제1 플라즈마에 대한 노출 동안 약 160℃ 미만의 온도에서 유지되는, 방법.
  13. 제 12 항에 있어서, 상기 워크 피스 온도는 상기 제1 플라즈마에 대한 노출 동안 약 100℃ 미만의 온도에서 유지되는, 방법.
  14. 제 12 항에 있어서, 상기 워크 피스 온도는 상기 제1 플라즈마에 대한 노출 동안 약 90℃ 미만의 온도에서 유지되는, 방법.
  15. 제 12 항 내지 제 14 항 중 어느 한 항에 있어서,
    수소 및 약산화제를 포함하는 기체로부터 제2 플라즈마를 생성하는 단계; 및
    상기 워크 피스를 상기 제2 플라즈마에 노출시키는 단계
    를 더욱 포함하는, 방법.
  16. 제 15 항에 있어서, 상기 워크 피스 온도는 상기 제2 플라즈마에 대한 노출 동안 약 160℃ 미만의 온도에서 유지되는, 방법.
  17. 제 15 항에 있어서, 상기 워크 피스 온도는 상기 제2 플라즈마에 대한 노출 동안 약 90℃ 미만의 온도에서 유지되는, 방법.
  18. 제 15 항 내지 제 17 항 중 어느 한 항에 있어서, 상기 제2 플라즈마는 실질적으로 불소가 없는, 방법.
  19. 제 15 항 내지 제 18 항 중 어느 한 항에 있어서, 상기 워크 피스 온도는 상기 제1 플라즈마에 대한 노출 동안 보다 상기 제2 플라즈마에 대한 노출 동안 더 높은, 방법.
  20. 제 12 항 내지 제 19 항 중 어느 한 항에 있어서, 상기 기체 내의 상기 불소-함유 화합물의 부피 백분율이 1% 이하인, 방법.
  21. 에칭 공정 이후 부분적으로 제작된 집적 회로의 일부로서 워크 피스 상의 절연층으로부터 물질을 제거하는 방법에 있어서, 상기 방법은
    수소를 포함하는 기체로부터 제1 플라즈마를 생성하는 단계를 포함하며,
    상기 워크 피스 온도는 약 160℃ 미만의 온도에서 유지되는, 방법.
  22. 제 21 항에 있어서, 상기 기체는 약산화제를 더욱 포함하는, 방법.
  23. 제 21 항 또는 제 22 항에 있어서, 상기 기체는 불소-함유 화합물을 더욱 포함하는, 방법.
  24. 워크 피스 표면으로부터 물질을 제거하기 위한 장치에 있어서, 상기 장치는
    다음을 포함하는 반응 챔버:
    플라즈마 공급원,
    상기 플라즈마 공급원의 하류에 위치한 샤워헤드, 및
    상기 샤워헤드 하류에 위치한 워크 피스 지지체, 여기서 상기 워크 피스 지지체는 상기 워크 피스 지지체 상에서 지지되는 워크 피스의 온도를 제어하기 위한 온도-제어 장치 및 받침대를 포함함; 및
    한 세트의 명령을 실행하기 위한 제어기;
    를 포함하며, 여기서 상기 한 세트의 명령은 수소, 약산화제 및 불소-함유 화합물을 포함하는 기체로부터 제1 플라즈마를 생성하기 위한 명령; 상기 워크 피스를 상기 제1 플라즈마에 노출시키기 위한 명령; 수소 및 약산화제를 포함하는 기체로부터 제2 플라즈마를 생성하기 위한 명령; 상기 워크 피스를 상기 제2 플라즈마에 노출시키기 위한 명령, 및 상기 워크 피스를 상기 노출 작업들 동안 약 160℃ 미만의 온도로 유지하기 위한 명령을 포함하는, 방법.
KR1020127015129A 2009-12-11 2010-12-08 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법 KR101908737B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/636,601 2009-12-11
US12/636,601 US8591661B2 (en) 2009-12-11 2009-12-11 Low damage photoresist strip method for low-K dielectrics
PCT/US2010/059517 WO2011072042A2 (en) 2009-12-11 2010-12-08 Low damage photoresist strip method for low-k dielectrics

Publications (2)

Publication Number Publication Date
KR20120098777A true KR20120098777A (ko) 2012-09-05
KR101908737B1 KR101908737B1 (ko) 2018-10-16

Family

ID=44141528

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127015129A KR101908737B1 (ko) 2009-12-11 2010-12-08 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법

Country Status (7)

Country Link
US (2) US8591661B2 (ko)
JP (1) JP5911068B2 (ko)
KR (1) KR101908737B1 (ko)
CN (1) CN102792423B (ko)
SG (1) SG181165A1 (ko)
TW (1) TWI562225B (ko)
WO (1) WO2011072042A2 (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
KR101357785B1 (ko) * 2012-09-11 2014-02-04 피에스케이 주식회사 기판 처리 방법
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
KR102230529B1 (ko) * 2013-12-27 2021-03-19 엘지디스플레이 주식회사 유기전계발광표시소자 및 이의 제조방법
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9934984B2 (en) * 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9730494B1 (en) * 2016-09-23 2017-08-15 Feinstein Patents, Llc Self-fitting, self-adjusting, automatically adjusting and/or automatically fitting shoe/sneaker/footwear
CN107015445A (zh) * 2017-03-27 2017-08-04 武汉新芯集成电路制造有限公司 半导体结构表面处理方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
WO2021011525A1 (en) 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas
WO2023069346A1 (en) * 2021-10-22 2023-04-27 Lam Research Corporation Strip with bevel cleaning

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100560253B1 (ko) * 1998-05-08 2006-03-10 동경 엘렉트론 주식회사 플라즈마 에칭 방법
KR20070020329A (ko) * 2004-06-21 2007-02-20 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
KR20070086312A (ko) * 2004-11-16 2007-08-27 어플라이드 머티어리얼스, 인코포레이티드 잔류물 제거를 위한 사후-에칭 처리
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPH01200628A (ja) * 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) * 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
CN1322556C (zh) 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) * 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) * 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006203035A (ja) * 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) * 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US8061459B2 (en) 2006-01-17 2011-11-22 GM Global Technology Operations LLC Traction control method for a tracked vehicle
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100560253B1 (ko) * 1998-05-08 2006-03-10 동경 엘렉트론 주식회사 플라즈마 에칭 방법
KR20070020329A (ko) * 2004-06-21 2007-02-20 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7585777B1 (en) * 2004-07-13 2009-09-08 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
KR20070086312A (ko) * 2004-11-16 2007-08-27 어플라이드 머티어리얼스, 인코포레이티드 잔류물 제거를 위한 사후-에칭 처리
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry

Also Published As

Publication number Publication date
US20140120733A1 (en) 2014-05-01
CN102792423A (zh) 2012-11-21
WO2011072042A3 (en) 2011-09-09
JP2013513948A (ja) 2013-04-22
TW201137970A (en) 2011-11-01
KR101908737B1 (ko) 2018-10-16
WO2011072042A2 (en) 2011-06-16
SG181165A1 (en) 2012-07-30
US8591661B2 (en) 2013-11-26
TWI562225B (en) 2016-12-11
US20110139176A1 (en) 2011-06-16
CN102792423B (zh) 2016-06-22
JP5911068B2 (ja) 2016-04-27

Similar Documents

Publication Publication Date Title
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
TWI385728B (zh) 受損介電材料之移除方法
US8664124B2 (en) Method for etching organic hardmasks
US7300597B2 (en) Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
KR101019931B1 (ko) 낮은 유전상수 물질에 대한 인-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
EP3038142A1 (en) Selective nitride etch
KR102083680B1 (ko) 유기 하드마스크들을 에칭하는 방법
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
US7288483B1 (en) Method and system for patterning a dielectric film
KR20170058282A (ko) 고 쓰루풋을 갖는 초고 선택성 폴리실리콘 에칭
US20050158667A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
IJ et al. STRIP PROCESS OPTIMIZATION FOR POROUS ULK FILMS

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant