KR20070086312A - 잔류물 제거를 위한 사후-에칭 처리 - Google Patents

잔류물 제거를 위한 사후-에칭 처리 Download PDF

Info

Publication number
KR20070086312A
KR20070086312A KR1020077013636A KR20077013636A KR20070086312A KR 20070086312 A KR20070086312 A KR 20070086312A KR 1020077013636 A KR1020077013636 A KR 1020077013636A KR 20077013636 A KR20077013636 A KR 20077013636A KR 20070086312 A KR20070086312 A KR 20070086312A
Authority
KR
South Korea
Prior art keywords
plasma
gas
maintaining
substrate
containing gas
Prior art date
Application number
KR1020077013636A
Other languages
English (en)
Inventor
캉-리에 치앙
만-핑 카이
샤우밍 마
얀 예
피터 허시에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070086312A publication Critical patent/KR20070086312A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판 상의 도전 재료층으로부터 잔류물을 제거하기 위한 방법이 제시된다. 일 실시예에서, 상기 방법은 불소-함유 환경에 노출에 의해 잔류물을 갖는 기판 표면을 갖는 진공 챔버 안으로 처리 가스를 유입시키는 단계를 포함한다. 처리가스는 수소-함유 가스를 포함한다. 선택적으로, 처리 가스는 산소-함유 가스 또는 질소 함유 가스를 더 포함할 수 있다. 그 후에 처리 가스의 플라즈마는 표면으로부터 잔류물을 제거하기 위해 미리 설정된 시구간 동안 진공 챔버 내에서 유지된다. 기판의 온도는 플라즈마 단계 동안 섭씨 약 10도 내지 약 90도 사이의 온도에서 유지된다.

Description

잔류물 제거를 위한 사후-에칭 처리{POST-ETCH TREATMENT TO REMOVE RESIDUES}
본 발명은 반도체 처리 기술, 특히 잔류물을 제거하기 위해 재료 표면을 사후-에칭 처리에 관한 것이다.
집적 회로(IC) 칩의 성능, 밀도 및 비용은 극적인 비율로 향상되었다. 이러한 향상은 대부분 트랜지스터를 점차적으로 보다 작은 치수로 제작할 수 있는 능력에 기인하며, 이는 속도를 높이고 기능적인 밀도를 높였다. 그러나 IC 칩 위의 트랜지스터의 크기가 계속 축소되는 것은 후미의 상호접속에 많은 어려움을 야기한다. IC의 최소 피쳐 크기가 0.18㎛ 이하로 축소됨에 따라, 금속 상호접속 라인은 얇아지고 보다 치밀하게 팩킹되어, 금속 라인의 저항을 높이고 금속간 커패시턴스를 증가시키며 이로써 오랜 시간 지연 또는 동작 속도의 저하를 야기한다. 금속 라인의 높은 전도율 재료 및 절연 재료의 낮은 유전율(낮은-k) 유전체와 같은 상이한 재료로 바꿈으로써, 보다 작은 소자 형상이 최대 동작 속도에 큰 영향을 주지 않고 구현될 수 있다. 이는 많은 서브-0.18㎛ IC 소자 제조시 후미 상호접속부를 알루미늄과 실리콘 디옥사이드에서 구리와 낮은-k 유전체로 바꾸어 야기되었다.
구리는 알루미늄에 비해 높은 전도율과 우수한 전자이동 저항을 제공하기 때 문에 극초대규모 집적 회로(ULSI)를 위한 상호접속 재료로서 선택되었다. 금속간 유전체로의 구리 이동을 방지하기 위해, 배리어층은 종종 구리 라인과 금속간 유전체 사이에 사용되었다. 배리어층에 사용된 재료의 예는 Ta 또는 Ta-기반 합금, Ti 또는 TiN, 및 TiW와 같은 도전 배리어, 및 실리콘 나이트라이드, 실리콘 카바이드, 실리콘 옥시카바이드, 등과 같은 유전체 배리어을 포함한다. 이러한 배리어들은 구리가 금속간 유전체로 확산하는 것을 방지할 뿐만 아니라, 구리와 금속간 유전체 사이의 접합을 제공한다.
배리어층의 사용에 추가하여, 알루미늄/옥사이드를 구리/낮은-k 로 바꾸는 것은 후미 제조 프로세스 플로우에 많은 기능적 변화를 포함한다. 구리를 에칭하는 것은 어렵기 때문에, "다마신" 또는 "이중 다마신" 프로세싱과 같은 방법이 필요하다. 구리 다마신/이중-다마신은 비아 및/또는 트렌치가 절연 재료 내에서 에칭되는 프로세스이다. 다음에 구리는 비아 및/또는 트렌치 내에 채워지고 화학적 기계적 연마(CMP)와 같은 프로세스를 이용하여 평탄화되어 도전 재료가 비아 및 트렌체 내에만 남겨진다. 도 1A 및 1B에 도시된 것과 같은 이중 다마신 방법에서, 비아(112)와 트렌치(110)는 구리 충전 단계 이전에 구리 라인 층(120)(또는 게이트 전극과 같은 다른 도전체) 위에서 유전체 스택(100)으로 패터닝된다. 유전체 스택(100)은 배리어 재료(103) 상의 유전체 재료(101)와 같은 유전체 및 배리어 재료의 스택을 포함한다. 이중 다마신 방법의 장점은 하나의 구리 충전 및 CMP 프로세스만이 도 1B에 도시된 것처럼 금속 라인의 상부층(130) 아래에서 금속 라인의 상부층(130)을 구리 라인(120)의 도전층에 연결시키는 금속 라인의 상부층(130) 및 비아(132)를 형성하는데 필요하다는 것이다.
이중 다마신 방법에서 유전체 스택을 패터닝하는 것은 상이한 프로세싱 순서로 수행될 수 있다. 일부는 먼저 비아를 패터닝하고 일부는 먼저 트렌치를 패터닝한다. 어떤 프로세싱 순서가 사용되든, 이중 다마신 구조물을 형성하기 위하여, 유전체 스택(100)은 유전체 스택(100) 아래에 놓인 구리 라인(120)까지 에칭된다. 그 결과, 구리 라인의 상부 표면(121)은 특히 유전체 스택의 하부에 있는 배리어 재료(103)의 에칭 종료 부근에서 유전체 에칭 환경에 노출된다. 이는 일부 단일 다마신 프로세스에서 일어난다. 이러한 노출 결과로서, 잔류물은 구리 라인(120)의 상부면(121) 뿐만 아니라 유전체 스택(100)의 에칭된 측벽 상에 형성될 수 있다. 도 2는 상부면(121) 위의 유전체층(103)을 에칭한 후에 노출된 구리 라인(120)의 상부면(121)에 대한 예시적인 도를 도시한다. 도 2에 도시된 것처럼, 잔류물(202)은 구리 라인(120)의 상부면 상에 그리고 유전체 스택(100)의 측벽 상에 형성된다. 잔류물(202)은 만약 유전체 에칭 후에 빨리 제거되지 않는다면 구리 표면이 대기의 습기에 노출될 때 구리 라인을 더 부식시킬 것이다. 현재 잔류물(202)을 제거하는 방법은 습식 화학 용액을 사용하는 것을 포함하지만, 이는 비용과 시간이 많이 소모된다.
따라서, 이중 다마신 프로세스에서 금속간 유전체 에칭 후에 구리 표면 상에 남겨진 잔류물을 빠르고 저렴하게 제거하는 방법이 필요하다.
본 명세서에서는 기판으로부터 잔류물을 제거하는 방법이 제시된다. 일 실시예에서, 상기 방법은 잔류물을 가진 기판 표면이 불소-함유 환경에 노출되도록 처리 가스를 진공 챔버 안으로 유입시키는 단계를 포함한다. 처리 가스는 수소-함유 가스를 포함한다. 선택적으로, 처리 가스는 산소-함유 가스 또는 질소 함유 가스를 더 포함할 수 있다. 처리 가스의 플라즈마는 표면으로부터 잔류물을 제거하기 위해 미리결정된 시구간 동안 진공 챔버 안에서 형성되고 유지된다. 기판의 온도는 플라즈마 단계 동안 섭씨 약 10도 내지 약 90도 사이의 온도에서 유지된다.
또 다른 실시예에서, 다마신 또는 이중 다마신 프로세스 동안 반도체 기판 상의 구리 라인 층 위에 있는 유전체 배리어층을 개방하는 방법이 제공된다. 상기 방법은 기판이 위치한 진공 챔버 안으로 불소-함유 처리 가스를 유입시키는 단계와 그 다음에 유전체 배리어층을 에칭하기 위해 진공 챔버 내에 불소-함유 처리 가스의 플라즈마를 유지시키는 단계, 이로써 구리 라인 층의 표면을 덮지 않게 하는 단계를 포함한다. 다음에 수소-함유 가스를 포함하는 처리 가스는 진공 챔버 안으로 유입된다. 선택적으로, 처리 가스는 산소-함유 가스 또는 질소 함유 가스를 더 포함할 수 있다. 처리 가스의 플라즈마는 구리 라인 층 표면 위에 형성된 잔류물이 불소-함유 처리 가스의 노출에 의해 제거되도록 진공 챔버 내에서 유지된다. 기판의 온도는 플라즈마 단계동안 섭씨 약 10도 내지 약 90도 사이의 온도에서 유지된다.
본 발명의 상기 설명한 특징을 달성하고 상세히 이해될 수 있도록 하기 위해, 상기 간략히 설명한 본 발명을 하기에서 첨부된 도면에 예시된 실시예들을 참조하여 보다 상세히 설명한다.
도 1A와 1B는 종래 이중 다마신 구조물의 수직 단면도에 대한 개략도이다.
도 2는 종래 유전체 배리어이 에칭된 후에 비아의 하부에서 구리 표면 상의 잔류물을 나타내는 예시적인 도이다.
도 3A-D는 다마신 프로세스 플로우에서 반도체 기판 상의 구조물의 전개에 대한 개략적인 단면도이다.
도 4는 다마신 프로세스 플로우 후의 플라즈마 처리을 예시하는 흐름도이다.
도 5A-5G는 이중 다마신 프로세스 플로우에서 반도체 기판 상의 구조물을 전개하는 개략적인 단면도이다.
도 6은 이중 다마신 프로세스 플로우 후의 플라즈마 처리을 예시하는 흐름도이다.
도 7은 구리 표면 상의 잔류물을 제거하기 위한 플라즈마 처리 프로세스를 예시하는 흐름도이다.
도 8은 구리 표면 상의 잔류물을 제거하기 위해 플라즈마 처리 프로세스를 실행하는데 사용될 수 있는 플라즈마 반응기의 개략적인 단면도이다.
이해를 돕기 위하여, 도면에서 공통적인 동일한 부재를 나타내는 참조 부호는 가능한 동일한 숫자를 사용하였다.
본 발명은 노출된 표면 상의 잔류물 뿐만 아니라 노출된 표면에 인접한 측벽, 즉 콘택 비아의 측벽 상에 형성된 잔류물을 제거하기 위해 기판 상의 도전 재 료 층의 노출된 상부면을 처리하기 위한 방법을 포함한다. 일 실시예에서, 상기 방법은 기판이 배치된 진공 챔버를 갖는 플라즈마 반응기에서 수행된다. 처리 가스는 챔버로 유입된다. 처리 가스는 수소-함유 가스를 포함하며, 선택적으로 산소-함유 가스 또는 질소-함유 가스를 포함한다. 처리 가스의 플라즈마는 잔류물이 플라즈마의 종들과 반응하고 표면으로부터 제거될 수 있는 시구간 동안 진공 챔버 내에서 유지된다. 플라즈마 처리 프로세스 동안, 기판의 온도는 섭씨 약 10도 내지 약 90도 사이에서 유지된다.
상기 방법은 다마신 또는 이중 다마신 프로세스의 일부로서 도전 재료의 표면을 처리하는데 유용하며, 다마신 또는 이중 다마신 프로세스의 유전체 배리어 에칭 프로세스 이후에 수행된다. 일 실시예에서, 유전체 배리어 에칭 프로세스는 구리 라인 층 위에 유전체 배리어층을 갖는 기판을 진공 챔버 내에 배치시킴으로써 수행된다. 다음에, 불소-함유 처리 가스가 진공 챔버 안으로 유입된다. 불소-함유 처리 가스의 플라즈마는 유전체 배리어층을 에칭하기 위해 진공 챔버 내에 유지되고 이로써 구리 라인 층의 상부면이 노출되게 한다. 그 후에, 구리 라인 층의 노출된 표면은 수소-함유 가스, 선택적으로 산소-함유 가스 또는 질소-함유 가스를 포함하는 가스 혼합물을 진공 챔버 안으로 유입시키고, 유전체 배리어 에칭 프로세스 동안 구리 라인 층의 표면 위에 형성된 잔류물을 제거하도록 진공 챔버 내에 가스 혼합물의 플라즈마를 유지시킴으로써 처리된다. 기판의 온도는 섭씨 약 10도 내지 약 90도 사이에서 유지된다. 유전체 에칭 프로세스를 위한 세정 에칭 화학제가 제고되며, 이는 불소-함유 처리 가스 내에 비교적 높은 불소 대 탄소 비율을 갖 는 탄화불소 가스, 질소-함유 가스, 및 산소 함유 가스의 사용을 포함한다.
본 발명의 일 실시예에서, 플라즈마 처리 프로세스는 반도체 기판 상에 다마신 또는 이중 다마신 구조물을 생성하는 동안 배리어층을 개방한 후에 수행된다. 도 4는 도 3A-3D와 조합하여, 기판(300) 상의 구리 라인(320) 층 위의 유전체 스택(310) 내에 다마신 구조물을 형성하기 위한 예시적인 프로세스 플로우(400)를 도시한다. 유전체 스택(310)은 유전체 배리어층(314) 위에 낮은-k 유전 층(312)을 포함한다. 일 실시예에서, 배리어층(314)은 캘리포니아, 산타 클라라에 있는 Applied Materials, Inc. 로부터 이용가능한 BLOkTM 배리어층 재료와 같은 낮은-k 유전체 재료로 형성될 수 있다.
도 4에 도시된, 프로세스 플로우(400)는 적어도 하나의 트렌치 또는 비아(301)가 유전체 스택(310) 내에서 에칭되는 단계(402)를 포함한다. 트렌치 또는 비아(301)는 마스크로서 패터닝된 포토레지스트 층(330)을 이용하여 낮은-k 유전체 층(312)에서 에칭된다. 배리어층(314)은 도 3A에 도시된 것처럼 에칭 정지층으로서 사용될 수 있다. 프로세스 플로우(400)는 도 3B에 도시된 것처럼 포토레지스트 층(330)이 제거되는 단계9404)와, 도 3C에 도시된 것처럼 배리어층(314)이 트렌치 또는 비아(301)의 하부에서 구리 라인(320)의 표면(322)을 노출시키도록 에칭되는 단계(406)를 더 포함한다. 배리어층(314)은 통상적으로 불소-기반 플라즈마(350)를 이용하여 플라즈마 에칭된다. 그러나, 플라즈마(350)는 노출된 구리 라인(320)의 표면 상에 불소-기반 폴리머의 잔류물(302)을 형성한다.
이 때, 단계(408)에서 플라즈마 처리은 구리 라인(320)으로부터 잔류물(302)을 제거하기 위해 하기 도 7을 참조하여 설명한 것처럼 수행될 수 있다. 다마신 구조물은 구리(316)가 구리 라인(320)의 노출된 표면(322) 위에 트렌치 또는 비아(301) 안에 증착되어 채워지는, 도 3D에 도시된 것과 같은 구리 충전 단계에 의해 완성될 수 있다. 선택적으로, 다른 구조물들은 도 6과 관련하여 하기 설명하는 이중-다마신 프로세스 플로우에서 처럼 기판(300) 상에 형성될 수 있다.
도 6은 도 5A-5E와 조합하여, 구리 라인(230) 층 위의 유전체 스택(310) 내에 이중-다마신 구조물을 형성하기 위한 예시적인 프로세스 플로우(600)를 도시한다. 유전체 스택(310)은 유전체 배리어층(314) 위에 낮은-k 유전체 층(312)를 포함한다. 상기프로세스 플로우(400)에서 처럼, 프로세스 플로우(600) 내의 배리어층(314)은 BLOkTM 배리어층 재료와 같은 낮은-k 유전체 재료로 형성된다.
프로세스 플로우(600)는 마스크로서 패터닝된 포토레비스트 층(332)를 사용하고, 선택적으로 에칭 정지층(도 5A)으로서 배리어층(314)을 사용하여 낮은-k 유전체 층(312) 내의 비아(303)를 에칭하는 단계(602)를 포함한다. 단계(604)에서 포토레지스트 층(332)이 제거되고 비아(303)가 BARC(하부 비반사성 코팅) 재료(340)(도 5B)로 채워진다. 단계(606)에서, 유전체 스택(310)이 트렌치를 형성하기 위해 또 다른 포토레지스트 마스크(334)로 마스킹된다(도 5C). 단계(608)에서, 트렌치(305)는 낮은-k 유전체 층(312)에서 에칭된다(도 5D). 포토레지스트 마스크(334)와 BARC 재료(340)는 단계(610)에서 제거된다(도 5E). 단계(612)에서, 배리어층(314)은 비아(303)의 하부에서 구리 라인(320)의 표면(322)을 노출시키도록 에칭된다(도 5F). 배리어층(314)은 통상적으로 불소-기반 플라즈마(350)를 이용하여 플라즈마 에칭된다. 그러나, 플라즈마(350)는 바람직하지 않게 노출된 구리 라인(320)의표면에서 불소-기반 폴리머의 잔류물을 생성한다.
이 때, 단계(614)에서, 플라즈마 처리은 하기 도 7과 관련하여 설명하는 것처럼, 구리 라인(320)으로부터 잔류물(302)을 제거하기 위해 수행된다. 이중-다마신 구조물은 도 5G에 도시된 것처럼 구리(316)가 구리 라인(320)의 노출된 표면(322) 위에 트렌치(305)와 비아(303) 안으로 증착되고 채워지는 구리 충전 단계에 의해 완성된다.
도 7은 도 8과 관련하여 설명하는 플라즈마 반응기 내의 플라즈마 처리 프로세스를 수행하기 위한 방법(700)의 일 실시예를 도시한다. 도 7에 도시된 것처럼, 상기 방법(700)은 기판의 온도가 섭씨 약 10도 내지 약 90도 사이의 범위에서 제어되고 유지된다. 단계(704)에서 잔류물 제거를 위한 처리 가스의 가스 성분은 가스 분산 메커니즘을 이용하여 플라즈마 반응기의 진공 챔버 안으로 유입된다. 다음에 단계(706)에서, 하나 이상의 전력 소스가 처리 가스를 플라즈마로 점화하기 위해 전력을 진공 챔버 내로 결합되도록 턴온된다. 플라즈마는 구리 표면과 비아 측벽 상의 잔류물을 제거하기에 충분하다고 여겨지는 시구간 동안 하나 이상의 전력 소스에 의해 유지된다. 다음에, 단계(708)에서, 하나 이상의 전력 소스가 턴오프되고 가스 성분의 흐름이 종료된다.
일 실시예에서, 플라즈마 처리 프로세스에 사용된 처리 가스는 H2, 또는 NH3 또는 증발된 H2O와 같은 수소-함유 가스를 포함한다. 선택적으로, 처리 가스는 O2, 또는 증발된 H2O와 같은 산소-함유 가스를 포함할 수 있다. 또한 처리 가스는 선택적으로 N2 또는 NH3와 같은 질소-함유 가스를 포함할 수 있다. 수소-함유 가스는 수소-함유 가스가 NH3 또는 증발된 H2O인 것처럼 산소- 및/또는 질소-함유 가스로서 동일한 가스일 수 있다.
이론으로서 설명할 순 없지만, 플라즈마에 에너지가 공급된 후, 수소-함유 가스는 불화 수소 및 기타 휘발성 산물을 형성하기 위해 구리 표면 및 비아 측벽 상의 불소-함유 잔류물과 환원 반응에 참여하는 유리된(free) 수소-함유 라디칼을 제공하는 것으로 여겨진다. 플라즈마에 에너지가 공급된 후, 산소-함유 가스는 단계(406 또는 612)에서 유전체 배리어 에칭 동안 증착된 불소-함유 유기 폴리머를 산화시키는 유리된 산소-함유 라디칼을 제공한다. 또한 산소-함유 잔류물은 구리 산화물 또는 구리 이산화물의 막을 형성함으로써 구리 표면의 패시베이팅을 도우며, 상기 막은 구리 표면에 대한 불소-함유 잔류물의 추가 반응을 방지하는 것을 돕는다. 또한 산소-함유 라디칼 및 수소-함유 라디칼은 불소-함유 잔류물과 함께 반응하여 산소 디플루오라이드 및 수소 옥시플루오라이드 및 기타 휘발성 산물을 형성한다. 질소-함유 가스는 유사하게 구리 표면을 패시베이팅하는 것을 돕고 불소-함유 잔류물들을 세정한다.
상기 설명한 플라즈마 처리 프로세스는 임의의 배리어 에칭 프로세스 이후에 구리 표면 및/또는 비아 측벽 상의 잔류물을 제거하기 위해 수행될 수 있다. 최적 의 결과를 위해, 플라즈마 처리 프로세스는 세정 화학제를 사용한 배리어 에칭 단계(406 또는 612) 이후에 수행되어 구리 표면 상에 비교적 작은 양의 폴리머 또는 에칭 산물의 증착을 야기한다. 본 발명의 일 실시예에서, 배리어 에칭 단계(406 또는 612)는 도 3B 또는 5E에 도시된 것과 같은 기판(300)을 불소-함유 처리 가스의 플라즈마에 노출시킴으로써 수행된다. 불소-함유 처리 가스는 폴리머 및/또는 에칭 산물 증착이 거의 없는 세정 화학제를 제공하기 위해 비교적 높은 불소 대 탄소 비율을 갖는 하나 이상의 불화탄소 가스를 포함한다. 배리어 개방 단계(406 또는 612)의 세정 화학제는 플라즈마 처리 프로세스에서 잔류물을 나중에 제거하기 쉽게 한다. 불화탄소 가스의 예는 CF4, C2F6, C4F6, C4F8, CHF3, CH2F2, CH3F, 등을 포함하며, 그 중에 CF4가 자주 사용된다. 배리어 에칭 단계(406 또는 612)에서 불소-함유 처리 가스는 선택적으로 N2, N2O, 등과 같은 질소-함유 가스 및/또는 O2와 같은 산소-함유 가스를 포함하며, 그중에서 N2가 자주 사용된다. 산소-함유 가스 및/또는 질소-함유 가스 각각은 플라즈마 내의 산소-함유 및/또는 질소-함유 반응 종을 분산시킴으로써 기판(300) 상의 재료 표면의 청결함 향상을 도우며, 상기 반응 종은 폴리머 및/또는 에칭 산물 증착물의 일부와 반응하여 질소-함유 가스의 경우 CN과 같은 휘발성 종을 형성한다.
일 실시예에서, 플라즈마 처리 프로세스는 플라즈마 반응기로부터 다른 반응기로의 기판 이동이 필요하지 않도록 배리어 에칭 단계(406 또는 612)를 수행하는데 사용되는 동일한 플라즈마 반응기에서 수행된다. 선택적으로, 배리어 에칭 단 계(406 또는 612)와 플라즈마 처리 프로세스는 두 개의 독립된 플라즈마 반응기에서 연속적으로 수행될 수 있다.
도 8은 본 발명을 수행하기에 적합한 이중 주파수 용량성 플라즈마 소스 에칭 반응기(802)의 개략적인 단면도를 도시한다. 상기 반응기는 2002년 7월 9일자로 출원된 미국 특허출원 10/192,271호에 개시되어 있으며, 상기 출원은 본 명세서에서 참조로 포함된다. 본 발명을 수행하기에 적합한 에칭 반응기는 캘리포니아, 산타 클라라에 있는 Applied Materials, Inc에서 이용가능한 ENABLERTM 처리 챔버이다.
도 8에 도시된 것처럼, 반응기(802)는 도전성 챔버 벽(830)을 가진 처리 챔버(810)를 포함한다. 챔버 벽(830)은 전기 접지(834)에 연결되고 세라믹 라이너(831)를 포함한다. 세라믹 라이너(831)는 챔버(810)의 자체-세정 성능을 촉진시켜, 세라믹 라이너(831) 상에 증착된 부산물 및 잔류물이 각각의 기판이 처리된 후 라이너(831)로부터 용이하게 제거될 수 있게 한다. 또한 처리 챔버(810)는 지지 페데스탈(816) 및 지지 페데스탈(816)로부터 이격되고 마주하는 상부 전극(828)을 포함한다. 지지 페데스탈(816)은 기판(300)을 유지시키기 위한 정전기 척(826)을 포함한다. 정전기 척(826)은 DC 전력 공급부(820)에 의해 제어된다. 샤워헤드(832)는 상부 전극(828)에 장착되고 챔버(810) 안으로의 다양한 가스의 유입을 제어하기 위해 가스 패널(838)에 결합된다. 샤워헤드(832)는 다양한 가스가 상이한 용적유량비를 가지면서 챔버(810) 안으로 방출될 수 있도록 상이한 구역을 포함 할 수 있다.
지지 페데스탈(816)은 매칭 네트워크(814)를 통해 무선 주파수(RF) 바이어스 전력 소스(822)에 결합된다. 바이어스 전력 소스(822)는 대체로 약 50 kHz 내지 약 53.56 kHz의 조정가능한 주파수를 갖는 RF 신호와 약 0 내지 5000 와트의 바이어스 전력을 만들 수 있다. 선택적으로, 바이어스 전력 소스(822)는 DC 또는 펄스화된 DC 또는 펄스화된 DC 소스일 수 있다. 상부 전극(828)은 임피던스 트랜스포머(819)(예컨대 4분 파장 매칭 스튜브)를 통해 RF 소스 전력(818)에 결합된다. RF 소스 전력(818)은 대체로 약 160 MHz의 조정가능한 주파수를 갖는 RF 신호와 약 0 내지 5000 와트의 소스 전력을 만들 수 있다. 챔버(810)는 스로틀 밸브(827)를 통해 진공 펌프(836)에 결합된 고진공 용기이다.
또한 반응기(802)는 챔버 리드(813) 부근에서 챔버 벽(830) 외부에 위치한 하나 이상의 코일 세그먼트 또는 자석(812)을 포함할 수 있다. 코일 세그먼트(들)(812)은 DC 전력 소스 또는 저주파수 AC 전력 소스(854)에 의해 제어된다.
기판(300)의 프로세싱 동안, 챔버(810) 내부의 가스 압력은 가스 판넬(838)과 스로틀 밸브(827)에 의해 제어되고, 약 0.1 내지 999 mTorr의 범위에서 유지된다. 챔버 벽(830)의 온도는 벽 내부에 및/또는 주위에 위치한 액체-함유 콘딧(미도시)를 이용하여 제어된다. 기판(300)의 온도는 냉매를 유동시키기 위해 채널이 내부에 형성된 냉각판(미도시)을 통해 지지 페데스탈(816)의 온도를 조절함으로써 제어된다. 게다가, 헬륨 소스(848)로부터의 헬륨(He) 가스와 같은 후방 가스가 기판(300)의 후측부와 정전기 척(826)의 표면 내에 형성된 그루브(미도시) 사이에 배 치된 채널 안으로 제공된다. 또한 정전기 척(826)은 프로세싱 동안 안정-상태 온도로 척을 가열시키기 위해 척 몸체 내에 저항성 히터(미도시)를 포함할 수 있다. 후방 He 가스는 기판(300)의 균일한 가열을 촉진시키는데 사용된다. 기판(300)은 섭씨 약 10도 내지 약 500도 사이의 온도에서 유지될 수 있다.
중앙처리장치(CPU)(844), 메모리(842), 및 CPU(844)를 위한 지지회로(846)를 포함하는 제어기(840)는 반응기(802)의 다양한 부품들에 결합되어 본 발명의 프로세스의 제어를 용이하게 한다. 메모리(842)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 반응기(802) 또는 CPU(844)에 국부적으로 또는 원격으로 연결된 임의의 다른 형태의 디지털 저장소일 수 있다. 지지 회로(836)는 종래 방식으로 CPU를 지지하기 위해 CPU(844)에 연결된다. 이러한 회로는 캐시, 전력 공급부, 클럭 회로, 입/출력 회로 및 서브시스템 등을 포함한다. CPU(844)에 의해 실행될 때, 메모리(842)에 저장된 일련의 프로그램 명령어 또는 소프트웨어 루틴은 반응기(802)가 본 발명의 프로세스를 수행하게 한다.
도 8은 본 발명의 실시에 사용될 수 있는 여러 타입의 플라즈마 반응기의 예시적인 구성을 도시한다. 예컨대, 상이한 타입의 소스 전력과 바이어스 전력은 상이한 결합 메커니즘을 이용하여 플라즈마 챔버 내에 결합된다. 소스 전력과 바이어스 전력을 이용하는 것은 플라즈마 밀도와 플라즈마와 관련한 기판의 바이어스 전압의 독립적인 제어를 가능하게 한다. 일부 어플리케이션에서, 소스 전력은 필요하지 않고 플라즈마는 바이어스 전력에 의해서만 유지된다. 플라즈마 밀도는 저주파수(예컨대 0.1-0.5 Hertz) AC 전류 소스 또는 DC 소스에 의해 구동된 전자석을 이용하여 진공 챔버에 인가된 자기장에 의해 강화될 수 있다. 다른 실시예에서, 플라즈마는 기판이 위치한 챔버와 상이한 챔버에서 생성되고, 플라즈마는 후속하여 종래 기술을 이용하여 기판을 향해 유도된다.
본 발명의 어플리케이션을 예시하기 위하여, 도 3B 또는 5E에 도시된 것과 같은 재료 층이 형성된 기판(300)이 도 4에 도시된 단계(402 내지 404), 또는 도 6에 도시된 단계(602 내지 610)에 개시된 프로세스 플로우에 따라 준비된다. 일 실시예에서, 기판(300)은 직경이 200 mm(8 인치), 또는 300 mm(12 인치)인 실리콘 기판일 수 있다. 낮은-k 유전체 층(312)은 두께가 약 0.4-1.5 마이크론이다. 배리어층(314)은 두께가 약 수백 옹스트롬일 수 있다. 도 3B 또는 5E의 낮은-k 유전체 층(312)으로서 사용하기에 적합한 재료의 일 예는 캘리포니아, 산타 클라라에 있는 Applied Materials, Inc에서 이용가능한 Black DiamondTM 막이다. 낮은-k 유전체 배리어층(314)으로서 사용하기에 적합한 재료의 일 예는 역시 Applied Materials, Inc에서 상업적으로 이용가능한 BLOkTM (배리어 낮은-k) 막이다. BLOkTM 막은 2001년 9월 11일자로 공개된 미국특허 6,287,990 B1호 및 2001년 10월 16일자로 공개된 미국특허 6,303,523 B2호에 개시된 화학 기상 증차(CVD) 또는 플라즈마 강화 CVD(PECVD) 프로세스를 이용하여 형성된 실리콘 카바이드 기반 막이며, 상기 특허들은 본 명세서에서 참조로 포함된다.
도 3B 또는 5E에 도시된 재료 층이 위에 형성된 기판(300)은 배리어층(314) 은 도 3C 또는 5F에 도시된 것처럼 트렌치 또는 비아(301,303)의 하부에서의 구리 표면(322)이 노출될 때까지 에칭된, 도 4와 5에 각각 도시된 단계(406 또는 612)에 따라 반응기(802) 내에서 프로세싱된다.
다음에 기판(300)은 플라즈마 처리 프로세스가 구리 표면(322) 및 비아(301 또는 303)의 측벽 상의 잔류물을 제거하도록 수행되는 도 7에 도시된 프로세스 플로우(700)에 따라 프로세싱된다. 프로세스 플로우(700)는 기판 온도가 미리설정된 값에서 제어되는 단계(702)를 포함한다. 일 실시예에서, 기판 온도는 섭씨 약 10도 내지 90도 사이가 되도록 제어된다. 또 다른 실시예에서, 기판의 온도는 섭씨 약 50도 내지 약 80도 사이가 되도록 제어된다.
일 실시예에서, 기판 온도는 페데스탈(816)의 온도를 제어함으로써 제어될 수 있다. 일 실시예에서, 페데스탈(816)의 온도는 섭씨 약 -20도 내지 약 40도 사이가 되도록 제어된다. 선택적으로 또는 추가로, 기판 온도는 He 소스(848)로부터 가스의 유동 및 제어에 의해 제어될 수 있다. 일 실시예에서 기판(300)과 페데스탈(816) 사이의 계면에서 후방 He 가스의 압력이 10 Torr 미만, 예컨대 약 0.1 내지 약 9.9 Torr의 범위에서 유지된다. 일 실시예에서, 후방 He 가스 압력은 약 1 Torr이다.
프로세스 플로우(700)는 가스 성분이 샤워헤드(832)를 통해 처리 챔버(810)에 공급되어 챔버 내부에 가스 혼합물을 형성하는 단계(704)를 더 포함한다. 각각의 가스 성분의 유속은 약 0 내지 7500 sccm의 범위에서 제어된다. 처리 챔버(810)내의 가스 혼합물의 압력은 가스 혼합물의 하나 이상의 가스 성분의 용적유 량비와 같은 적어도 하나의 프로세스 파라미터와 스로틀 밸브(827)의 위치를 조절함으로써 조정된다. 유전체 배리어층(314)이 단계(406 또는 612)에서 개방될 때, 가스 혼합물은 하나 이상의 플로로카본 가스 및, 선택적으로 상기 설명한 하나 이상의 다른 가스를 포함한다. 프로세스 플로우(700)가 플라즈마 처리 프로세스를 수행하는데 사용될 때, 가스 혼합물은 수소-함유 가스를 포함하고 선택적으로 산소-함유 가스 또는 질소-함유 가스를 포함할 수 있다.
다음에 단계(706)에서, 가스 혼합물의 플라즈마가 RF 소스 전력(818)을 턴온함으로써 점화되고 유지된다. 그 후에, 또는 거의 동시에, RF 바이어스 전력(822)이 턴온되어 기판 지지 페데스탈(816)을 전기적으로 바이어싱한다. 따라서, 페데스탈(816) 상의 기판(300)은 가스 혼합물의 플라즈마에 노출된다. 선택적으로, 가스 혼합물의 플라즈마는 RF 바이어스 전력(822)에 의해서만 점화되고 유지될 수 있다.
유전체 배리어층(314)을 개방하기 위한 에칭 단계(406,612) 동안, 플라즈마는 유전체 배리어층(314)의 두께에 기초하여 미리설정된 시구간 동안 유지되거나 트렌치 또는 비아(301,303) 내의 유전체 배리어 재료가 제거되는지를 플라즈마로부터의 방출을 모니터링하거나 다른 종료점 기술을 이용하여 결정하는 종래 광학 종료점 측정 기술을 이용하여 종료된다. 플라즈마 처리 프로세스 동안 단계(706)에서, 플라즈마는 미리설정된 시구간(플라즈마 시간) 동안 계속된다. 그 후에, 단계(708)에서, 플라즈마는 RF 소스 전력(822)과 바이어스 소스(822) - RF 소스 전력(818)이 사용되지 않는 실시예에서는 바이어스 소스(822)만 - 을 턴오프시킴으로 써 소화되고 가스 성분의 유동은 정지한다.
상기 개시한 프로세스 플로우의 단계들은 순차적으로 수행될 필요가 없다. 즉, 상기 단계의 일부 또는 모두는 동시에 또는 상이한 순서로 수행될 수 있다. 일 실시예에서, 프로세스 플로우는 메모리(842)에 저장된 프로그램 명령어에 따라 도 8에 도시된 제어기(840)에 의해 수행된다. 선택적으로, 개시된 프로세스 플로우의 일부 또는 모든 단계는 주문형 집적회로(ASIC) 또는 다른 타입의 하드웨어 구현과 같은 하드웨어, 또는 소프트웨어 또는 하드웨어의 조합으로 수행될 수 있다.
표Ⅰ은 도 5에 도시된 반응기(500)를 이용하여 배리어-개방 단계(406,612)를 수행하는데 사용된 몇몇 프로세스 파라미터들의 범위, 즉 최소값과 최대값 및 예시적인 값을 요약한 것이다.
다음에 단계(706)에서, 가스 혼합물의 플라즈마는 RF 소스 전력(818)을 턴온함으로써 점화되고 유지된다. 그 후에, 또는 거의 동시에, RF 바이어스 전력(822)이 턴온되어 기판 지지 페데스탈(816)을 전기적으로 바이어싱한다. 따라서, 페데스탈(816) 상의 기판(300)은 가스 혼합물의 플라즈마에 노출된다. 선택적으로, 가스 혼합물의 플라즈만느 RF 바이어스 전력(822)에 의해서만 점화되고 유지될 수 있다.
유전체 배리어층(314)을 개방하기 위한 에칭 단계(406,612) 동안, 플라즈마는 유전체 배리어층(314)의 두께에 기초한 미리설정된 시구간 동안 유지되거나, 트렌치 또는 비아(301,303)의 유전체 배리어 재료가 제거되는지를 플라즈마로부터의 방출을 모니터링하거나 다른 종료점 기술을 이용하여 결정하는 종래 광학 종료점 측정 기술을 이용하여 종료된다. 플라즈마 처리 프로세스 동안 단계(706)에서 플라즈마는 미리설정된 시구간(플라즈마 시간) 동안 계속된다. 그후에 단계(708)에서 플라즈마는 RF 소스 전력(818)과 바이어스 소스(822) - RF 소스 전력(818)이 사용되지 않는 실시예에서 바이어스 소스(822)만 - 을 턴오프함으로써 소화되고 가스 성분의 유동은 정지된다.
상기 개시한 프로세스 플로우의 단계들은 순차적으로 수행될 필요가 없다. 즉 일부 또는 모든 단계는 동시에 또는 상이한 순서로 수행될 수 있다. 일 실시예에서, 프로세스 플로우는 메모리(842)에 저장된 프로그램 명령어에 따라 도 8에 도시된 제어기(840)에 의해 수행된다. 선택적으로, 개시된 프로세스 플로우의 일부 또는 모든 단계는 주문형 집적회로(ASIC) 또는 다른 타입의 하드웨어 구현과 같은 하드웨어, 또는 소프트웨어 또는 하드웨어의 조합으로 수행될 수 있다.
표 Ⅰ은 도 5에 도시된 반응기(500)를 이용하여 배리어-개방 단계(406,612)를 수행하는데 사용된 몇몇 프로세스 파라미터의 범위, 즉 최소값과 최대값 및 예시적인 값을 요약한 것이다.
표 Ⅰ
프로세스 파라미터 최소값 최대값
처리가스(sccm) CF4 2 200 30
N2 0 400 90
O2 0 400 10
상부 전극 전력(W) 0 1000 200
바이어스 전력(W) 50 2500 300
가스 압력(mTorr) 5 500 30
기판 페데스탈 온도(℃) -20 40 20
일 실시예에서, 플라즈마 처리 단계의 수소-함유 가스는 NH3이다. 처리 가스가 선택적인 산소-함유 가스로서 O2를 포함하는 실시예에서, 처리 가스는 O2:NH3의 용적유량비가 1:1 내지 약 100:1의 범위에 있도록, 일 실시예에서는 약 3:1 내지 약 10:1의 범위에 있도록 진공 챔버 안으로 유입된다. 처리가스의 플라즈마는 플라즈마의 밀도를 제어하기 위해 소스 전력에 의해 유지된다. 바이어스 전력은 선택적으로 플라즈마와 기판 사이에 전기 바이어스 전압을 제어하기 위해 사용된다. 소스 전력 대 바이어스 전력의 비율은 약 1:1 내지 약 5:1이다. 선택적으로, 처리 가스의 플라즈마는 바이어스 전력에 의해서만 유지될 수 있다.
표Ⅱ는 NH3와 선택적으로 O2를 이용하여 플라즈마 처리 프로세스(700)를 수행하는데 사용된 프로세스 파라미터의 범위와 예시적인 값을 요약한 것이다.
표 Ⅱ
프로세스 파라미터 최소값 최대값
처리가스 (sccm) O2 0 1000 500
NH3 20 1000 100
상부 전극 전력(W) 0 3000 2000
바이어스 전력(W) 0 1000 400
가스 압력(mTorr) 5 500 30
기판 페데스탈 온도(℃) -20 40 20
플라즈마 시간(초) 필요에 따름 필요에 따름 30
일 실시예에서, 플라즈마 처리 단계의 수소-함유 가스는 H2이고 산소-함유 가스는 증발된 H2O이다. 처리 가스는 H2O:H2의 용적유량비가 1:10 내지 약 1:1000의 범위에 있도록, 일 실시예에서는 약 1:100의 범위에 있도록 진공 챔버 안으로 유입된다.
표 Ⅲ은 H2 만을 이용한 플라즈마 처리 프로세스(700)의 또 다른 실시예를 수행하는데 사용된 프로세스 파라미터의 범위와 예시적인 값을 요약한 것이다.
표 Ⅲ
프로세스 파라미터 최소값 최대값
처리가스(sccm) H3 20 1000 350
상부 전극 전력(W) 0 3000 2000
바이어스 전력(W) 0 1000 100
가스 압력(mTorr) 5 1000 20
기판 페데스탈 온도(℃) -20 40 20
플라즈마 시간(초) 필요에 따름 필요에 따름 30
플라즈마 처리 프로세스는 구리 표면 및 비아 측벽에 존재하는 잔류물을 제거하기에 충분한 시구간 동안 실행될 수 있다. 본 발명의 일 실시예에서, 플라즈마 시간은 약 15 내지 약 50 초이다. 노출된 구리 표면 및 비아 측벽에 존재하는 잔류물의 많고 적은 상황에 따라 플라즈마 처리 시간이 길거나 짧게 사용될 수 있다.
따라서, 단지 약 30 초의 플라즈마 처리의 경우, 플라즈마 처리 프로세스 플로우(700)는 배리어-개방 단계(406,612) 이후에 구리 표면(322) 및 비아(301 또는 303)의 측벽 상의 잔류물의 대부분 또는 모두를 제거할 수 있다. 통상적으로 약 5-10 분의 습식 용해 시간, 3-5 분의 헹굼 시간, 20분의 스핀 건조 시간 및 플라즈마 반응기로부터 습식 벤치(bench)와 습식 벤치의 상이한 부분들 사이로의 기판 이동을 포함하는 잔류물 제거의 종래 습식 세정 방법과 비교하면, 배리어-개방 단계(406-612) 이후에 플라즈마 처리 프로세스를 수행함으로써 큰 장점을 얻을 수 있다.
비록 플라즈마 처리 프로세스 플로우(700)가 배리어-개방 단계(406,612) 이 후에 수행되는 것으로 개시되었지만, 실제로, 플라즈마 처리 프로세스는 BLOk 막과 상이한 재료 함량을 갖는 배리어층을 개방하고 여기서 개시한 것과 상이한 프로세싱 기술을 사용하기 위한 프로세스처럼 다른 프로세스에서 유발된 구리 표면 상의 불소-함유 잔류물을 제거하는데 사용될 수 있다. 실제로, 플라즈마 처리 프로세스는 임의의 불소-함유 처리 환경으로의 노출에 의해 야기되는 구리 또는 다른 재료 표면의 불소-함유 잔류물을 제거하는데 사용될 수 있다.
비록 본 발명은 몇몇 특정 실시예를 참조하여 설명되었지만, 상기 설명은 예시적일 뿐 본 발명을 제한하고자 하는 것이 아니다. 당업자는 하기 청구항에 정의된 본 발명의 사상과 범위로부터 벗어나지 않고 본 발명을 다양하게 수정할 수 있다.

Claims (31)

  1. 기판 상에 도전 재료의 층으로부터 잔류물을 제거하기 위한 방법으로서,
    불소-함유 환경에서의 프로세싱으로 인해 노출된 표면 상에 형성된 잔류물을 갖는 기판을 가진 진공 챔버 안으로 처리 가스 - 상기 처리 가스는 수소-함유 가스를 포함함 - 를 유입시키는 단계;
    상기 표면으로부터 상기 잔류물을 제거하기 위해 미리설정된 시구간 동안 상기 진공 챔버 내에서 상기 처리 가스의 플라즈마를 형성하고 유지시키는 단계; 및
    상기 플라즈마 형성 및 유지 단계 동안 상기 기판의 온도를 섭씨 약 10도 내지 약 90도 사이에서 유지시키는 단계
    를 포함하는 잔류물 제거 방법.
  2. 제 1 항에 있어서, 상기 처리 가스는 산소-함유 가스를 더 포함하는 것을 특징으로 하는 잔류물 제거 방법.
  3. 제 2 항에 있어서, 상기 산소-함유 가스는 O2이고 상기 수소-함유 가스는 NH3이며, 상기 처리 가스는 O2:NH3의 용적유량비가 약 1:1 내지 약 100:1 의 범위에 있도록 상기 진공 챔버 안으로 유입되는 것을 특징으로 하는 잔류물 제거 방법.
  4. 제 3 항에 있어서, 상기 NH3:O2의 용적유량비는 약 3:1 내지 약 10:1인 것을 특징으로 하는 잔류물 제거 방법.
  5. 제 1 항에 있어서, 상기 처리 가스의 플라즈마는 약 15 내지 약 50 초 사이에서 유지되는 것을 특징으로 하는 잔류물 제거 방법.
  6. 제 1 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    제 1 전력 공급부로부터 전력을 상기 진공 챔버에 제공하는 단계를 더 포함하는 것을 특징으로 하는 잔류물 제거 방법.
  7. 제 6 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    제 2 전력 공급부로부터 전력을 상기 진공 챔버에 제공하는 단계를 더 포함하며, 상기 제 1 전력 공급부는 상기 플라즈마의 밀도를 제어하고 상기 제 2 전력 공급부는 상기 플라즈마와 상기 기판 사이의 전기 바이어스 전압을 제어하는 것을 특징으로 하는 잔류물 제거 방법.
  8. 제 7 항에 있어서, 상기 제 1 전력 공급부 대 상기 제 2 전력 공급부의 비율은 약 1:1 내지 약 5:1인 것을 특징으로 하는 잔류물 제거 방법.
  9. 제 1 항에 있어서, 상기 처리 가스는 질소-함유 가스를 더 포함하는 것을 특징으로 하는 잔류물 제거 방법.
  10. 제 1 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    상기 기판의 온도를 섭씨 약 50도 내지 약 80도 사이에서 유지시키는 것을 특징으로 하는 잔류물 제거 방법.
  11. 다마신 또는 이중 다마신 프로세스 동안 반도체 기판 상의 구리 라인들의 층 위에 있는 유전체 배리어층을 개방하는 방법으로서,
    상기 기판이 내부에 위치한 진공 챔버 안으로 불소-함유 처리 가스를 유입시키는 단계;
    상기 유전체 배리어층을 에칭하여, 이로써 상기 구리 라인들의 층의 상부면을 노출시키도록 상기 진공 챔버 내에서 상기 불소-함유 처리 가스의 플라즈마를 유지시키는 단계;
    수소-함유 가스를 포함하는 처리 가스를 상기 진공 챔버 안으로 유입시키는 단계;
    상기 기판 상에 형성된 불소-함유 잔류물을 제거하기 위해 상기 진공 챔버 내에서 상기 처리 가스의 플라즈마를 형성하고 유지시키는 단계; 및
    상기 플라즈마 형성 및 유지 단계 동안 상기 기판의 온도를 섭씨 약 10도 내지 약 90도 사이에서 유지시키는 단계
    를 포함하는 유전체 배리어층을 개방하는 방법.
  12. 제 11 항에 있어서, 상기 불소-함유 가스는 CF4, C2F6, C4F6, C4F8, CHF3, CH2F2, 및 CH3F 중 적어도 하나를 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  13. 제 11 항에 있어서, 상기 불소-함유 가스는 CF4를 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  14. 제 11 항에 있어서, 상기 불소-함유 가스는 질소-함유 가스 및 산소-함유 가스를 더 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  15. 제 11 항에 있어서, 상기 수소-함유 가스는 NH3인 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  16. 제 11 항에 있어서, 상기 처리 가스는 산소-함유 가스를 더 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  17. 제 16 항에 있어서, 상기 산소 함유 가스는 O2이고 상기 수소-함유 가스는 NH3인 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  18. 제 16 항에 있어서, 상기 처리 가스는 상기 산소-함유 가스의 용적유량비 대 상기 수소-함유 가스의 용적유량비의 비율이 1:1 내지 약 100:1의 범위에 있도록 상기 진공 챔버 안으로 유입되는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  19. 제 18 항에 있어서, 상기 산소-함유 가스의 용적유량비 대 상기 수소-함유 가스의 용적유량비의 비율이 3:1 내지 약 10:1의 범위에 있는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  20. 제 11 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    제 1 전력 공급부로부터 전력을 상기 진공 챔버에 제공하는 단계를 더 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  21. 제 20 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    제 2 전력 공급부로부터 전력을 상기 진공 챔버에 제공하는 단계를 더 포함하며, 상기 제 1 전력 공급부는 상기 플라즈마의 밀도를 제어하고 상기 제 2 전력 공급부는 상기 플라즈마와 상기 기판 사이의 전기 바이어스 전압을 제어하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  22. 제 21 항에 있어서, 상기 제 1 전력 공급부 대 상기 제 2 전력 공급부의 비율은 약 1:1 내지 약 5:1인 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  23. 제 11 항에 있어서, 상기 처리 가스의 플라즈마는 약 15 내지 약 50초 동안 유지되는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  24. 제 11 항에 있어서,
    상기 잔류물들이 상기 플라즈마에 의해 제거된 후에 상기 구리 라인들의 층의 상부면 위에 구리의 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  25. 제 11 항에 있어서, 상기 처리 가스는 질소-함유 가스를 더 포함하는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  26. 제 11 항에 있어서, 상기 플라즈마를 형성하고 유지하는 단계는,
    상기 기판의 온도를 섭씨 약 50도 내지 약 80도 사이에서 유지시키는 것을 특징으로 하는 유전체 배리어층을 개방하는 방법.
  27. 컴퓨터에 의해 실행될 때 플라즈마 반응기가 다마신 또는 이중 다마신 프로세스 동안 반도체 기판 상의 구리 라인들의 층 위에 있는 유전체 배리어층을 개방시키게 하는 프로그램 명령어들을 내부에 저장하는 컴퓨터 판독 매체로서, 상기 프로그램 명령어들은,
    상기 기판이 내부에 위치한 상기 플라즈마 반응기의 진공 챔버 안으로 불소-함유 처리 가스를 유입시키기 위한 명령어;
    상기 유전체 배리어층을 에칭하여, 이로써 상기 구리 라인들의 층의 표면을 노출시키도록 상기 진공 챔버 내에서 상기 불소-함유 처리 가스의 플라즈마를 유지시키기 위한 명령어;
    수소-함유 가스를 포함하는 처리 가스를 상기 진공 챔버 안으로 유입시키기 위한 명령어;
    상기 구리 라인들의 층의 표면 상에 형성된 잔류물들을 제거하기 위해 상기 진공 챔버 내에서 상기 처리 가스의 플라즈마를 형성하고 유지시키기 위한 명령어; 및
    상기 플라즈마 형성 및 유지 단계 동안 상기 기판의 온도를 섭씨 약 10도 내지 약 90도 사이에서 유지시키기 위한 명령어
    를 포함하는, 컴퓨터 판독가능 매체.
  28. 제 27 항에 있어서, 상기 처리 가스를 유입시키기 위한 명령어는,
    산소-함유 가스를 유입시키기 위한 명령어; 및
    상기 산소-함유 가스를 제 1 용적유량비로 유입시키기 위한 명령어와 상기 수소-함유 가스를 제 2 용적유량비로 유입시키기 위한 명령어
    를 더 포함하며, 상기 제 1 용적유량비 대 상기 제 2 용적유량비의 비율은 약 1:1 내지 약 100:1의 범위에 있는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  29. 제 27 항에 있어서, 상기 플라즈마를 유지시키기 위한 명령어는,
    상기 진공 챔버에 연결된 제 1 전력 공급부를 턴온하기 위한 명령어를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  30. 제 29 항에 있어서, 상기 플라즈마를 유지시키기 위한 명령어는,
    상기 진공 챔버에 연결된 제 2 전력 공급부를 상기 제 1 전력 공급부 대 상기 제 2 전력 공급부의 비율이 약 1:1 내지 약 5:1이 되도록 조절하기 위한 명령어를 더 포함하며, 상기 제 1 전력 공급부는 상기 플라즈마의 밀도를 제어하고 상기 제 2 전력 공급부는 상기 플라즈마와 상기 기판 사이의 전기 바이어스 전압을 제어하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  31. 제 27 항에 있어서, 상기 기판의 온도를 유지시키기 위한 명령어는,
    상기 기판의 온도를 섭씨 약 50도 내지 약 80도 사이에서 유지시키기 위한 명령어를 더 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
KR1020077013636A 2004-11-16 2005-11-10 잔류물 제거를 위한 사후-에칭 처리 KR20070086312A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/989,678 2004-11-16
US10/989,678 US20060102197A1 (en) 2004-11-16 2004-11-16 Post-etch treatment to remove residues

Publications (1)

Publication Number Publication Date
KR20070086312A true KR20070086312A (ko) 2007-08-27

Family

ID=36384895

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077013636A KR20070086312A (ko) 2004-11-16 2005-11-10 잔류물 제거를 위한 사후-에칭 처리

Country Status (6)

Country Link
US (1) US20060102197A1 (ko)
EP (1) EP1825500A2 (ko)
KR (1) KR20070086312A (ko)
CN (1) CN101057314A (ko)
TW (1) TW200618104A (ko)
WO (1) WO2006055460A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120098777A (ko) * 2009-12-11 2012-09-05 노벨러스 시스템즈, 인코포레이티드 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법
KR20150014901A (ko) * 2013-07-30 2015-02-09 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR20170051692A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 식각 후처리 방법
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR20220097679A (ko) * 2020-12-30 2022-07-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100707576B1 (ko) * 2005-06-03 2007-04-13 동부일렉트로닉스 주식회사 반도체 소자의 비아홀 형성 방법
JP2007067066A (ja) * 2005-08-30 2007-03-15 Toshiba Corp 半導体装置とその製造方法
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009085238A1 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Copper discoloration prevention following bevel etch process
CN101752291B (zh) * 2008-12-22 2013-10-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
KR101770008B1 (ko) * 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102122640B (zh) * 2010-01-08 2013-04-17 中芯国际集成电路制造(上海)有限公司 形成快闪存储器的方法
CN102222639B (zh) * 2010-04-14 2015-03-11 中芯国际集成电路制造(上海)有限公司 一种双镶嵌结构的形成方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
CN106298633B (zh) * 2015-05-14 2019-05-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US10515862B2 (en) * 2017-04-05 2019-12-24 Applied Materials, Inc. Wafer based corrosion and time dependent chemical effects
US10586696B2 (en) 2017-05-12 2020-03-10 Applied Materials, Inc. Halogen abatement for high aspect ratio channel device damage layer removal for EPI growth
CN106944419A (zh) * 2017-05-12 2017-07-14 中国工程物理研究院核物理与化学研究所 一种去除表面氚污染的等离子体去污系统
CN108831859A (zh) * 2018-06-15 2018-11-16 武汉新芯集成电路制造有限公司 通孔的制造方法
US11211257B2 (en) 2018-08-31 2021-12-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device fabrication with removal of accumulation of material from sidewall
CN110752155B (zh) * 2019-10-28 2022-08-09 中国科学院微电子研究所 一种鳍状结构及半导体器件的制备方法
CN113451412B (zh) * 2020-04-01 2023-08-29 重庆康佳光电科技有限公司 一种tft及其制作方法

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253907A (en) * 1979-03-28 1981-03-03 Western Electric Company, Inc. Anisotropic plasma etching
US4936967A (en) * 1987-01-05 1990-06-26 Hitachi, Ltd. Method of detecting an end point of plasma treatment
KR930011027B1 (ko) * 1989-01-18 1993-11-19 가부시키가이샤 도시바 반도체장치의 제조방법
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
WO1992000601A1 (en) * 1990-06-27 1992-01-09 Fujitsu Limited Method of manufacturing semiconductor integrated circuit and equipment for the manufacture
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
JP3191407B2 (ja) * 1991-08-29 2001-07-23 ソニー株式会社 配線形成方法
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5391511A (en) * 1992-02-19 1995-02-21 Micron Technology, Inc. Semiconductor processing method of producing an isolated polysilicon lined cavity and a method of forming a capacitor
JPH0685173A (ja) * 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5326429A (en) * 1992-07-21 1994-07-05 Seagate Technology, Inc. Process for making studless thin film magnetic head
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
KR960015564B1 (ko) * 1993-04-16 1996-11-18 현대전자산업 주식회사 반도체 장치의 금속배선 형성방법
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5607599A (en) * 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
US5496759A (en) * 1994-12-29 1996-03-05 Honeywell Inc. Highly producible magnetoresistive RAM process
KR0178238B1 (ko) * 1995-09-30 1999-04-15 배순훈 박막 자기 헤드의 하부 자성층 패턴 형성 방법
KR100413649B1 (ko) * 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US5716875A (en) * 1996-03-01 1998-02-10 Motorola, Inc. Method for making a ferroelectric device
US5732016A (en) * 1996-07-02 1998-03-24 Motorola Memory cell structure in a magnetic random access memory and a method for fabricating thereof
US5920500A (en) * 1996-08-23 1999-07-06 Motorola, Inc. Magnetic random access memory having stacked memory cells and fabrication method therefor
US6129091A (en) * 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
DE19654642C2 (de) * 1996-12-28 2003-01-16 Chemetall Gmbh Verfahren zur Behandlung metallischer Oberflächen mit einer wässerigen Lösung
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
JPH1154721A (ja) * 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
EP0907203A3 (de) * 1997-09-03 2000-07-12 Siemens Aktiengesellschaft Strukturierungsverfahren
US6024885A (en) * 1997-12-08 2000-02-15 Motorola, Inc. Process for patterning magnetic films
US5837662A (en) * 1997-12-12 1998-11-17 Memc Electronic Materials, Inc. Post-lapping cleaning process for silicon wafers
US6048739A (en) * 1997-12-18 2000-04-11 Honeywell Inc. Method of manufacturing a high density magnetic memory device
US6051505A (en) * 1998-03-05 2000-04-18 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming metal-fluoropolymer residue free vias through silicon containing dielectric layers
JP3524763B2 (ja) * 1998-05-12 2004-05-10 株式会社日立製作所 エッチング方法
KR100275754B1 (ko) * 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6074961A (en) * 1998-06-18 2000-06-13 Taiwan Semiconductor Manufacturing Company Caro's cleaning of SOG control wafer residue
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US5940319A (en) * 1998-08-31 1999-08-17 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6162738A (en) * 1998-09-01 2000-12-19 Micron Technology, Inc. Cleaning compositions for high dielectric structures and methods of using same
AU5298099A (en) * 1998-09-02 2000-03-27 Ruan Lombaard Treatment of solid carbonaceous material
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
JP2000150678A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 不揮発性半導体記憶装置およびその製造方法
US6127282A (en) * 1998-11-12 2000-10-03 Advanced Micro Devices, Inc. Method for removing copper residue from surfaces of a semiconductor wafer
US6235639B1 (en) * 1998-11-25 2001-05-22 Micron Technology, Inc. Method of making straight wall containers and the resultant containers
US6153443A (en) * 1998-12-21 2000-11-28 Motorola, Inc. Method of fabricating a magnetic random access memory
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6355576B1 (en) * 1999-04-26 2002-03-12 Vlsi Technology Inc. Method for cleaning integrated circuit bonding pads
US6165803A (en) * 1999-05-17 2000-12-26 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6453914B2 (en) * 1999-06-29 2002-09-24 Micron Technology, Inc. Acid blend for removing etch residue
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
AT409429B (de) * 1999-07-15 2002-08-26 Sez Semiconduct Equip Zubehoer Verfahren zum ätzbehandeln von halbleitersubstraten zwecks freilegen einer metallschicht
US6361706B1 (en) * 1999-08-13 2002-03-26 Philips Electronics North America Corp. Method for reducing the amount of perfluorocompound gas contained in exhaust emissions from plasma processing
KR100322894B1 (ko) * 1999-09-28 2002-03-18 윤종용 산화 실리콘과 폴리실리콘을 동시에 에칭하기 위한 에칭 가스 조성물, 이를 이용한 에칭 방법 및 이를 이용한 반도체 메모리 소자의 제조방법
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6207565B1 (en) * 2000-01-13 2001-03-27 Vlsi Technology, Inc Integrated process for ashing resist and treating silicon after masked spacer etch
US6453194B1 (en) * 2000-03-29 2002-09-17 Daniel A. Hill Method of measuring consumer reaction while participating in a consumer activity
JP2001313280A (ja) * 2000-04-02 2001-11-09 Axcelis Technologies Inc ポスト・エッチ・フォトレジストおよび残留物の除去法
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6352870B1 (en) * 2000-06-12 2002-03-05 Advanced Micro Devices, Inc. Method of endpointing plasma strip process by measuring wafer temperature
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
CN1218222C (zh) * 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
KR20020009332A (ko) * 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
TW449929B (en) * 2000-08-02 2001-08-11 Ind Tech Res Inst Structure and manufacturing method of amorphous-silicon thin film transistor array
US6573167B2 (en) * 2000-08-10 2003-06-03 Texas Instruments Incorporated Using a carbon film as an etch hardmask for hard-to-etch materials
US6365419B1 (en) * 2000-08-28 2002-04-02 Motorola, Inc. High density MRAM cell array
US6204141B1 (en) * 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
US6465358B1 (en) * 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6444479B1 (en) * 2001-04-18 2002-09-03 Hynix Semiconductor Inc. Method for forming capacitor of semiconductor device
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6553335B2 (en) * 2001-06-21 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US6909930B2 (en) * 2001-07-19 2005-06-21 Hitachi, Ltd. Method and system for monitoring a semiconductor device manufacturing process
US6485989B1 (en) * 2001-08-30 2002-11-26 Micron Technology, Inc. MRAM sense layer isolation
US6589882B2 (en) * 2001-10-24 2003-07-08 Micron Technology, Inc. Copper post-etch cleaning process
US6455330B1 (en) * 2002-01-28 2002-09-24 Taiwan Semiconductor Manufacturing Company Methods to create high-k dielectric gate electrodes with backside cleaning
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6893893B2 (en) * 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6933239B2 (en) * 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US7253115B2 (en) * 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
US7374696B2 (en) * 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR20120098777A (ko) * 2009-12-11 2012-09-05 노벨러스 시스템즈, 인코포레이티드 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법
KR20150014901A (ko) * 2013-07-30 2015-02-09 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR20210114370A (ko) * 2013-07-30 2021-09-23 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR20170051692A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 식각 후처리 방법
US10128120B2 (en) 2015-10-30 2018-11-13 Samsung Electronics Co., Ltd. Method of treating a layer
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
KR20220097679A (ko) * 2020-12-30 2022-07-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
TW200618104A (en) 2006-06-01
US20060102197A1 (en) 2006-05-18
CN101057314A (zh) 2007-10-17
EP1825500A2 (en) 2007-08-29
WO2006055460A2 (en) 2006-05-26
WO2006055460A3 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
KR20070086312A (ko) 잔류물 제거를 위한 사후-에칭 처리
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7300597B2 (en) Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
KR101385346B1 (ko) 인-시추 기판 프로세싱을 위한 방법 및 장치
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
KR100849573B1 (ko) 오가노실리케이트층의 증착방법
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
KR20020033577A (ko) 유기실리케이트층을 증착시키는 방법
KR100857664B1 (ko) 유기규산염층의 증착 방법
US7718543B2 (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
US6647994B1 (en) Method of resist stripping over low-k dielectric material
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
US20090156012A1 (en) Method for fabricating low k dielectric dual damascene structures
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US6784107B1 (en) Method for planarizing a copper interconnect structure

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid