CN102792423B - 用于低k电介质的低损害光致抗蚀剂剥离方法 - Google Patents
用于低k电介质的低损害光致抗蚀剂剥离方法 Download PDFInfo
- Publication number
- CN102792423B CN102792423B CN201080056102.5A CN201080056102A CN102792423B CN 102792423 B CN102792423 B CN 102792423B CN 201080056102 A CN201080056102 A CN 201080056102A CN 102792423 B CN102792423 B CN 102792423B
- Authority
- CN
- China
- Prior art keywords
- plasma
- workpiece
- temperature
- exposed
- low
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 48
- 238000000034 method Methods 0.000 claims abstract description 104
- 230000001590 oxidative effect Effects 0.000 claims abstract description 30
- 239000007800 oxidant agent Substances 0.000 claims abstract description 29
- 239000000463 material Substances 0.000 claims abstract description 24
- 239000001257 hydrogen Substances 0.000 claims abstract description 23
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 23
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 16
- 238000005530 etching Methods 0.000 claims abstract description 15
- 239000007789 gas Substances 0.000 claims description 43
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 38
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 21
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 19
- 239000001569 carbon dioxide Substances 0.000 claims description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 9
- 239000001301 oxygen Substances 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- 229910052799 carbon Inorganic materials 0.000 claims description 8
- 150000002431 hydrogen Chemical class 0.000 claims description 7
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 6
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 6
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- 239000011737 fluorine Substances 0.000 claims description 6
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 claims description 4
- 229910018503 SF6 Inorganic materials 0.000 claims description 4
- 239000001273 butane Substances 0.000 claims description 4
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 claims description 4
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 claims description 4
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 claims description 4
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 claims description 4
- 239000007921 spray Substances 0.000 claims description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 4
- 239000003795 chemical substances by application Substances 0.000 claims description 3
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 2
- WRQGPGZATPOHHX-UHFFFAOYSA-N ethyl 2-oxohexanoate Chemical compound CCCCC(=O)C(=O)OCC WRQGPGZATPOHHX-UHFFFAOYSA-N 0.000 claims description 2
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims description 2
- 239000001272 nitrous oxide Substances 0.000 claims description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 claims description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 claims description 2
- DAFIBNSJXIGBQB-UHFFFAOYSA-N perfluoroisobutene Chemical compound FC(F)=C(C(F)(F)F)C(F)(F)F DAFIBNSJXIGBQB-UHFFFAOYSA-N 0.000 claims description 2
- 229960004065 perflutren Drugs 0.000 claims description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 2
- 229910001868 water Inorganic materials 0.000 claims description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims 1
- 239000000126 substance Substances 0.000 abstract description 7
- 235000012431 wafers Nutrition 0.000 description 45
- 239000003989 dielectric material Substances 0.000 description 17
- 239000010410 layer Substances 0.000 description 17
- 239000003792 electrolyte Substances 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- 210000002615 epidermis Anatomy 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 230000006698 induction Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000003825 pressing Methods 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 239000004568 cement Substances 0.000 description 2
- 239000013043 chemical agent Substances 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000010790 dilution Methods 0.000 description 2
- 239000012895 dilution Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 244000132059 Carica parviflora Species 0.000 description 1
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 238000006219 Matteson homologation reaction Methods 0.000 description 1
- 241000183024 Populus tremula Species 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003978 SiClx Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013256 coordination polymer Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000004299 exfoliation Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 210000003491 skin Anatomy 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
本发明提供从电介质材料剥离光致抗蚀剂及移除蚀刻相关残留物的改进的方法。在本发明的一个方面中,若干方法涉及使用采用弱氧化剂及含氟化合物的基于氢的蚀刻工艺从电介质层移除材料。衬底温度维持在约160℃或更低的水平,例如低于约90℃。
Description
相关申请案的交叉参考
本申请案主张2009年12月11日申请的第12/636,601号美国专利的优先权,且所述专利以引用的方式并入本文中。
技术领域
背景技术
本发明关于用于从部分制造的集成电路的表面剥离光致抗蚀剂材料及移除蚀刻相关残留物以准备进一步处理的方法。
镶嵌处理技术在许多现代集成电路制造方案中通常是优选方法,这是因为镶嵌处理技术与其它方法相比需要较少处理步骤且提供更高的良率。镶嵌处理涉及通过在电介质层(金属间电介质)中的沟槽及通孔中形成嵌入金属线而在集成电路上形成金属导体。作为镶嵌工艺的一部分,光致抗蚀剂层沉积在电介质层上。所述光致抗蚀剂为光敏有机聚合物,所述光敏有机聚合物可以液态形式“旋涂”且干燥成固态薄膜。接着使用通过掩模及湿溶剂的光而图案化所述光敏光致抗蚀剂。等离子体蚀刻工艺(干式蚀刻)接着用于蚀刻电介质的暴露部分且将所述图案转印到所述电介质中,从而形成所述电介质层中的通孔及沟槽。
一旦蚀刻所述电介质层,就必须在随后处理之前剥离所述光致抗蚀剂及彻底移除任何蚀刻相关残留物以避免在装置中嵌入杂质。常规用于剥离光致抗蚀剂的工艺利用等离子体,所述等离子体在所述等离子体中存在氧气的情况下由气体混合物形成。基于高反应性氧气的等离子体与有机光致抗蚀剂反应且氧化所述有机光致抗蚀剂以形成从晶片表面载离的挥发性组分。
高氧化条件通常也不适于在低介电常数(低k)材料上使用。低k材料已用作在许多现代装置中的导电互连件之间的金属间及/或层间电介质,以减少归因于电容效应的信号传播延迟。电介质材料的介电常数越低,电介质的电容越低且集成电路的RC延迟越低。通常,低k电介质为具有某量并入碳的基于氧化硅的材料,通常称为掺碳氧化物(CDO)。据信,虽然并不一定经证明,氧气从低k材料清除或移除碳。在例如CDO等许多这些材料中,碳的存在作用为提供低介电常数。因此,在氧气从这些材料移除碳的程度上说,其有效增加介电常数。由于用于制造集成电路的工艺向着越来越小尺寸发展且要求使用具有越来越低介电常数的电介质材料,所以已发现,常规剥离等离子体条件是不合适的。
因此需要用于从电介质材料(尤其从低k电介质材料)剥离光致抗蚀剂及与蚀刻相关的材料的经改进且更高效的方法。
发明内容
本发明通过提供用于从电介质材料剥离光致抗蚀剂及移除蚀刻相关残留物的经改进方法而解决上述需要。在本发明的一个方面中,若干方法涉及使用利用弱氧化剂及含氟化合物的基于氢的蚀刻工艺来从电介质层移除材料。衬底温度维持在约160℃或更低的水平,例如低于约90℃。
在某一实施例中,所述方法涉及将包括弱氧化剂、含氟化合物及氢气的气体引入到反应室中,及施加RF功率以在所述反应室内形成等离子体,以将所述材料的至少一部分转换为气态形式,由此从部分制造的集成电路移除所述材料的至少一部分。如前所述,若干方法可用于从蚀刻工艺移除光致抗蚀剂及/或残留物。若干方法可有效实施在镶嵌装置(包含单镶嵌装置及双镶嵌装置)上。
根据各种实施例,弱氧化剂包括二氧化碳、一氧化碳、氧化亚氮、一氧化氮及二氧化氮以及水中的至少一者。在特定实施例中,所述弱氧化剂包括二氧化碳。在某些实施例中,所述气体包括体积介于约0.1%到约10.0%之间的二氧化碳。在一些实施例中,所述气体进一步包括至少一种惰性运载气体,例如氦气、氩气或氮气。在一些实施例中,所述气体不包括分子态氧。
根据各种实施例,所述含氟化合物包括三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟化碳(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟异丁烯(C4F8)、氟(F2)及其类似物中的至少一者。在特定实施例中,所述弱氧化剂包括三氟化氮。在某些实施例中,所述气体包括体积介于约5ppm到约10%之间的三氟化氮。
本发明的方法可实施在任何尺寸的晶片上。大多数现代晶片制造设施使用200毫米或300毫米晶片。工艺条件可取决于晶片尺寸而改变。若使用300毫米晶片,则气体的总流速范围可介于约1,000sccm与约40,000sccm之间。若二氧化碳用作弱氧化剂,则二氧化碳的流速范围可介于约10sccm与约2,000sccm之间,例如800sccm。若三氟化氮用作含氟气体,则三氟化氮的流速范围可介于约1sccm与20sccm之间,例如5sccm。通常,300毫米晶片的RF等离子体功率范围介于等离子体的约300瓦特到约3000瓦特之间。若干方法可使用直接或远程等离子体而实施。
在将等离子体施加到工件表面期间,衬底温度范围可介于约50℃度与约160℃之间。在某些实施例中,工件温度维持在约90℃或更低水平下。实例室压力范围可介于约300毫托与约2托之间。在一些实施例中,晶片保持在偏压下。
如前文所提及,本发明的方法可与低k电介质材料一起使用,所述低k电介质材料包含掺碳低k电介质材料,例如掺碳氧化物(CDO)。本发明的方法可实施在无孔及多孔电介质材料两者上,所述电介质材料包含CDO及其它组成。
本发明的方法可在任何合适的反应室中实施。所述反应室可为多室设备的一个室,或所述反应室可为单室设备的一部分。在一些实施例中,使用多阶段移除工艺,其中含氟化合物仅使用所述阶段的子集。在某些实施例中,所述含氟化合物仅用于第一组阶段中,例如第一阶段。在使用多台设备的实施例中,举例来说,所述含氟化合物可用作用于在所述第一台中产生等离子体的工艺气体的一部分。
本发明的这些及其它特征和优点在下文中将参看相关联图式而更详细描述。
附图说明
图1为说明用于从部分制造的集成电路剥离光致抗蚀剂及移除蚀刻相关残留物的本发明的一些实施例的若干方面的工艺流程图。
图2A到2C展示在根据本发明的干式蚀刻及光致抗蚀剂剥离工艺期间的低k镶嵌装置的横截面描绘。
图3A及3B展示在根据本发明的光致抗蚀剂剥离及HF测试工艺之后在干燥期间的低k装置的横截面描绘。
图4A为展示适合实践本发明的设备的示意性说明。
图4B为展示适合实践本发明的多台剥离工具的简单框图。
具体实施方式
介绍
在以下本发明的详细描述中,阐述许多特定实施例以便提供对本发明的深入理解。然而,如对于所属领域的技术人员将显而易见,可在无这些特定细节或通过使用替代元件或工艺的情况下实践本发明。在其它情况下,未详细描述众所周知的工艺、程序及组件以免不必要地混淆本发明的方面。
在本申请案中,将可互换使用术语“半导体晶片”、“晶片”及“部分制造的集成电路”。所属领域的技术人员将理解,术语“部分制造的集成电路”可指在其上的集成电路制造的许多阶段中的任一者期间的硅晶片。以下详细描述假设本发明在晶片上实施。然而,本发明并不限于此。工件可为各种形状、大小及材料。除了半导体晶片,可利用本发明的其它工件包含各种物件,例如印刷电路板及其类似物。
如前所述,本发明的方法可用于有效及高效地从低k电介质材料移除光致抗蚀剂及与蚀刻相关的材料。本发明的方法并不限于低k电介质。若干方法也并不限于任何特定类别的低k电介质。例如,所述方法可高效地在具有小于4.0的k值的电介质、具有小于约2.8的k值的电介质及具有小于约2.0的k值的电介质(“超低k”或ULK电介质)上使用。低k电介质可为多孔或无孔(有时称为“密集”低k电介质)的。一般来说,低k密集电介质为具有不大于2.8的k值的电介质,且低k多孔电介质为具有不大于2.2的k值的电介质。可使用任何合适组成的低k电介质,包含掺杂氟及/或碳的基于氧化硅的电介质。还可使用基于非氧化硅的电介质,例如聚合材料。任何合适的工艺可用于沉积低k电介质,包含如旋涂沉积及CVD沉积技术。在形成多孔电介质的情形下,可使用任何合适的方法。一种典型方法涉及共沉积基于硅的骨架及有机成孔剂以及随后移除所述成孔剂组份,剩下多孔电介质膜。其它方法包含溶胶-凝胶技术。合适的低k膜的特定实例为基于碳的旋涂型膜(例如SILKTM)以及CVD沉积的多孔膜(例如CoralTM)
本发明的方法使用由含有氢及弱氧化剂且在某些处理操作中含有含氟化合物的气体产生的等离子体。所属领域的技术人员将明白,存在于所述等离子体中的实际物质可为衍生自氢、弱氧化剂及/或含氟化合物的不同离子及分子的混合物。请注意,其它物质可存在于所述反应室中,例如小碳氢化合物、二氧化碳、水蒸气及其它挥发性组分,这是因为所述等离子体与有机光致抗蚀剂及其它残留物反应且分解所述有机光致抗蚀剂及其它残留物。所属领域的技术人员还将明白,所提及的引入到所述等离子体的最初气体不同于在形成所述等离子体之后可存在的其它气体。
工艺
图1为描绘根据本发明的一些实施例的一个通用高阶工艺流程的流程图。请注意,在图1中还包含与集成电路(IC)制造中涉及的本发明的方法有关的一些典型操作,以提供可如何使用本发明的情境。为了提供本发明的一些实施例的可视情境,图2A到2C展示在各种相关制造工艺期间的低k镶嵌装置的一部分的横截面描绘。
参看图1,提供晶片(框101),其中蚀刻低k电介质层的暴露区域,剩下图案化光致抗蚀剂层于所述电介质层上。图2A和2B描绘在处理镶嵌装置200的情境中的图案化低k电介质的形成。图2A展示在干式蚀刻工艺之前的装置200,且图2B展示在所述干式蚀刻工艺之后的装置200。图2B对应于图1的框101中所提供的装置的状态。
参考图2A,层201具有沉积于其上的低k电介质层203,低k电介质层203具有沉积于其上的光致抗蚀剂205的部分。依据特定集成方案,下伏层201可为金属层(例如铜)、蚀刻终止层(例如碳化硅或氮化硅)或另一类型的层。光致抗蚀剂205已预先使用UV光刻(或其它合适的工艺)来图案化以剩下低k电介质层203的暴露部分。装置200接着经受干式蚀刻工艺,通常为溅镀蚀刻、等离子体蚀刻或反应性离子蚀刻中的一者。
如图2B中所示,在干式蚀刻工艺之后,所得装置200具有在超低k电介质层203内蚀刻的特征210。在进一步晶片处理之前必须剥离光致抗蚀剂部分205。请注意,暴露的光致抗蚀剂部分205的顶部及侧面具有“表皮(skin)”207,所述“表皮”由于一些干式蚀刻工艺而为所述光致抗蚀剂的相对较硬部分,且在组成上可不同于块体光致抗蚀剂部分205。所述表皮通常由从低k电介质203重新沉积的电介质残留物及从光致抗蚀剂205重新沉积的聚合残留物所组成。除了所述表皮外,膜209还可形成在低电介质203的暴露侧壁上。此膜通常由聚合残留物及在干式蚀刻工艺期间因离子轰击而损害的低k电介质的部分构成。
返回参看图1,所述光致抗蚀剂被剥离了所述光致抗蚀剂的第一部分(框103)。在某些实施例中,此第一部分包含从蚀刻工艺形成的表皮且通常较难移除。在此操作中,所述晶片暴露于具有弱氧化剂及含氟化合物的基于氢的等离子体。举例来说,在某些实施例中,所述晶片暴露于H2/CO2/NF3等离子体。如下文进一步论述,在此操作中采用相对少量含氟化合物。在一个实例中,H2流速为约20,000sccm(20slpm),CO2流速为800sccm(0.8slpm),且NF3流速为5sccm。个别流速可取决于特定实施例而变化。H2流速比CO2流速大两个数量级,且比NF3流速大四个数量级。在某些实施例中,CO2流速比NF3流速大至少一个数量级。如适当,这些范围可适用于其它弱氧化剂及含氟化合物。
此操作通常在与发生蚀刻的室不同的反应室中执行。此反应室可称为独立“剥离单元”。可使用具有合适等离子体反应室的任何设备。所述系统可提供直接(原位等离子体)或远程等离子体。
应注意,操作103在某些实施例中可用于替代常规的基于氧的剥离,所述常规的基于氧的剥离在发生蚀刻的相同反应室中执行且通常涉及暴露于基于氧的等离子体。此氧化部分剥离操作可损害一些低k电介质材料,且在某些实施方案中不能执行。因此,在某些实施例中,在所述蚀刻室中先前不经受此剥离工艺的情况下,在操作101中提供所述晶片。
再次参看图1,下个操作为将所述晶片暴露于具有弱氧化剂的基于氢的等离子体以剥离大块光致抗蚀剂及/或移除与蚀刻相关的材料(框105)。在某些实施例中,与在先前操作中不同,在此操作中不存在氟。在某些实施例中,在此操作中移除大块光致抗蚀剂及残留物,此操作可自身包含多个子操作。
气体的总流速、弱氧化剂与含氟化合物的相对量以及所述剥离室中的其它条件可取决于(尤其)等离子体类型(下游对直接)、RF功率、室压力、衬底(晶片)大小及所使用的弱氧化剂类型等因素而改变。在使用NovellusGammaTM系统(下游等离子体系统)的一些实例中,所述等离子体可包括体积介于约0.1%到10%的二氧化碳以及体积介于约5ppm到10%的三氟化氮(如果存在的话)。
除了氢、弱氧化剂及含氟气体外,还可使用载流气体,例如氦气、氩气或氮气。所述载流气体通常为不反应气体。为了装运及处置安全原因,商业氢气可用于具有例如氦气等惰性气体的混合物中。这些可市售的气体混合物可用于本发明的方法。
在操作105中等离子体剥离期间已移除大多数光致抗蚀剂及蚀刻残留物之后,可执行一个或一个以上额外等离子体剥离或湿式清除操作。还应注意,在多台设备中,可各自在一个或一个以上台上执行操作103及105。
所述晶片在暴露于所述等离子体期间通常受温度控制。特定来说,温度受控使得温度不超过约200℃、不超过约160℃、不超过约150℃、不超过约140℃、不超过约130℃、不超过约120℃、不超过约110℃、不超过约100℃、不超过约90℃、不超过约80℃或不超过约60℃。在特定实施例中,所述衬底维持在不超过90℃的温度。已经发现,这些相对低温度在某些实施例中对于防止对ULK膜的显著损害是关键的。
图3A描绘如上所述在光致抗蚀剂移除之后的经图案化超低k电介质层303、硬掩模层315及碳化硅层301。凹进特征310蚀刻到低k电介质层303中,凹进特征310可为通孔或沟槽。特征310包含侧壁317及底部319。已经发现,如果光致抗蚀剂移除发生在温度的过高处,则会损害侧壁317附近的低k材料。一种测试此损害的方式是通过HF浸渍,例如HF的100∶1稀释持续45秒。在一个实例中,在90℃下执行如上所述的光致抗蚀剂移除工艺,且将其与使用相同化学剂但在280℃下执行的工艺进行比较。图3B描绘结果,其中317″展示在280℃剥离的特征的曲线,及317′展示在90℃剥离的特征的曲线。虽然发现曲线317″与剥离特征曲线相比大体上不改变,但曲线317″向内弯如弓。介于这两个曲线之间的区域为在高温剥离工艺下损害的区域。较高温度工艺还可从所述特征的底部移除特定量的蚀刻终止材料。
较高温度容许较快速的蚀刻速率,然而,已经发现,由于增加温度,所以需要蚀刻更多氟。因此,对电介质的损害增加。然而,也可能通过因使用低温度所致的长暴露时间而发生损害。然而,已经发现,上述范围中的温度可将损害防止或减少在这些竞争效应的限制内。
在一个实例中,以下工艺条件用于产生低损害剥离:
台1:20slpmH2/0.8slpmCO2/5sccmNF3
台2-5:20slpmH2/0.8slpmCO2
台1-5:0.9托/90℃/3.5kWRF等离子体/103秒/台
在某些实施例中,对于在暴露于含氟等离子体之后的一个或一个以上操作升高温度。举例来说,在暴露于所述基于氟的等离子体期间可使用小于160℃或小于90℃的温度,且在暴露于使用无氟等离子体的一个或一个以上操作之前或期间升高所述温度。在某些实施例中可在若干阶段中升高温度,其中较后台使用比较前台更高的温度。所述较高温度可在所描述的范围内,或可高于所描述的温度。举例来说,在某些实施例中,较后台可使用较高温度,例如285℃。然而,在许多实施例中,在整个剥离工艺中温度维持在低温度。
以上描述提供使用低温度基于氢的等离子体移除光致抗蚀剂的实例,特定来说,提供涉及使光致抗蚀剂及蚀刻相关残留物暴露于由氢气产生的等离子体的工艺,其中所述晶片温度维持在低温度,例如小于约200℃、小于约160℃、小于约150℃、小于约140℃、小于约130℃、小于约120℃、小于约110℃、小于约100℃、小于约90℃、小于约80℃或小于约60℃。在某些实施例中,用于产生所述等离子体的气体在一个或一个以上操作中基本上由氢气组成。在替代实施例中,弱氧化剂、含氟气体及载流气体中的一者或一者以上在一个或一个以上操作中可添加到氢气,如上所述。可用于产生用于低温度暴露操作的等离子体的工艺气体化学剂的实例包含H2、H2/CO2、H2/CO2/NF3及H2/NF3,其中其它弱氧化剂及上述含氟剂分别取代或添加到CO2及NF3。依据存在的光致抗蚀剂及蚀刻残留物的特性,这些可在图2A到2C中所描绘的移除操作的任一操作或全部操作处使用。
举例来说,为了移除“表皮”,用于产生基于氢的等离子体的气体可基本上不存在CO2或其它弱氧化剂。此外,在某些实施例中,这些可基本上不存在NF3或其它含氟气体。用于产生基于氢的等离子体以移除大块光致抗蚀剂的气体可基本上不存在CO2或其它弱氧化剂。在许多实施例中,这些可基本上不存在NF3或其它含氟气体,如上所述。然而,在某些实施例中可存在NF3或其它含氟气体。
设备
如所提及,可使用任何合适的等离子体反应室设备。合适的等离子体室及系统包含由美国加州圣荷西市(SanJose,CA)诺发系统(NovellusSystems)公司提供的Gamma2100、2130I2CP(交错感应耦合等离子体)、G400及GxT。其它系统包含来自美国马里兰州洛克维尔市(Rockville)亚舍利科技(AxcelisTechnologies)的熔合线(Fusionline)、来自韩国PSK科技公司的TERA21以及来自美国加州弗里蒙特市(Fremont)马特森科技(MattsonTechnology)公司的Aspen。另外,各种剥离室可配置到丛集工具上。举例来说,剥离室可添加到可购自美国加州圣克拉拉市(SantaClara,CA)应用材料公司(AppliedMaterials)的森特拉(Centura)丛集工具。
图4A为展示在晶片上适合实践本发明的下游等离子体设备400的方面的示意性说明。设备400具有通过喷淋头组合件417分开的等离子体源411及暴露室401。在暴露室401内,晶片403架于压板(或台阶)405上。压板405与加热/冷却元件一起安装。在一些实施例中,压板405还经配置以将偏压施加到晶片403。通过导管407经由真空泵而在暴露室401内达到低压。气态氢(有或无稀释/载流气体)、二氧化碳(或其它弱氧化剂)以及(如果存在的话)三氟化氮(或其它含氟气体)的源使气体经由入口409流入到所述设备的等离子体源411中。等离子体源411部分由感应线圈413包围,所述感应线圈继而连接到电源415。在操作期间,气体混合物被引入到等离子体源411中,感应线圈413被供给能量且在等离子体源411中产生等离子体。喷淋头组合件417(其具有所施加电压)终止一些离子的流动且容许中性物质流入到暴露室401中。如所提及,晶片403可受温度控制且/或可被施加RF偏压。可使用等离子体源411及感应线圈413的各种配置及几何形状。举例来说,感应线圈413可以交错型样而环绕等离子体源411。在另一实例中,等离子体源411可经塑形为圆顶状而不是圆柱形。控制器450可连接到所述工艺室的组件,且控制剥离操作的工艺气体组成、压力、温度及晶片转位。机器可读媒体可耦合到所述控制器,且含有控制这些操作的工艺条件的指令。
如所提及,在一些实施例中,本发明的设备为专用于从晶片剥离光致抗蚀剂的剥离单元。一般来说,此剥离单元工具具有多个晶片处理台,使得可同时处理多个晶片。图4B为展示可根据本发明使用的多台晶片剥离单元工具430的俯视图的简单框图。剥离单元工具430具有五个剥离台433、435、437、439及441以及一个负载台431。剥离单元工具430经配置使得各台能够处理一个晶片,且因此所有台可暴露于共同真空。剥离台433、435、437、439及441中的每一者具有其自身的RF电源。负载台431通常配置有附接到其的负载锁台,以在无真空破坏的情况下容许将晶片输入到剥离单元工具430中。负载台431还可配置有加热灯以在转移到剥离台及光致抗蚀剂剥离之前预加热晶片。剥离台411通常配置有附接到其的负载锁台,以在无真空破坏的情况下容许从剥离单元工具430输出晶片。机械臂443在台间转移晶片。
在典型的制造模式期间,晶片以批次模式处理。批次模式处理可增加晶片生产量,且因此通常在制造操作中使用。在批次模式中,各晶片被转移到台431、433、435、437、439及441中的每一者且在每一者中经处理。举例来说,典型的批次模式处理将如下进行:晶片首先加载到负载台431中,在负载台431中利用加热灯预加热所述晶片。接着,机械臂443将所述晶片转移到剥离台433,在剥离台433中使用基于氟的等离子体对所述晶片进行等离子体处理持续一段时间以足以剥离光致抗蚀剂的约1/5。机械臂443接着将所述晶片转移到剥离台435,在剥离台435中使用无氟工艺对所述晶片进行等离子体处理持续一段时间以足以剥离剩余光致抗蚀剂的再约1/5。继续此序列,使得在剥离台437、439及441处处理所述晶片。在剥离台441处,所述光致抗蚀剂应已在很大程度上被移除,且接着从所述剥离单元工具卸下所述晶片。
虽然为了清晰起见已经省略各种细节,但可实施各种设计替代方案。因此,本发明实例应视为说明性而不是限制性的,且本发明并不限于本文中所给出的细节,而是可在所附权利要求书的范围内加以修改。
Claims (25)
1.一种在蚀刻工艺之后从作为局部制造的集成电路的一部分的工件上的低k电介质层移除光致抗蚀剂的方法,所述工件包括所述低k电介质层的暴露区域,所述方法包括:
由包括氢及含氟化合物的气体形成第一等离子体;
使包括所述低k电介质层的暴露区域的所述工件暴露于所述第一等离子体;
由包括氢剂的气体形成第二等离子体;
及使包括所述低k电介质层的暴露区域的所述工件暴露于所述第二等离子体,
其中所述工件温度维持在低于160℃的温度下以及所述光致抗蚀剂中的至少一些通过所述第一等离子体和第二等离子体的暴露从所述低k电介质层移除。
2.根据权利要求1所述的方法,其中所述工件温度维持在低于100℃的温度下。
3.根据权利要求1所述的方法,其中所述工件温度维持在低于90℃的温度下。
4.根据权利要求1到3中任一权利要求所述的方法,其中形成所述第一等离子体的所述气体进一步包括弱氧化剂。
5.根据权利要求4所述的方法,其中所述弱氧化剂包括二氧化碳、一氧化碳、氧化亚氮、一氧化氮、二氧化氮及水中的至少一者。
6.根据权利要求4所述的方法,其中所述弱氧化剂为二氧化碳。
7.根据权利要求1到3中任一权利要求所述的方法,其中所述含氟化合物包括三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟化碳(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟异丁烯(C4F8)及氟(F2)中的至少一者。
8.根据权利要求7所述的方法,其中所述含氟化合物为三氟化氮。
9.根据权利要求1到3中任一权利要求所述的方法,其中所述第二等离子体大体上无氟。
10.根据权利要求1到3中任一权利要求所述的方法,其中所述工件未经历先前基于氧的等离子体光致抗蚀剂剥离操作。
11.根据权利要求1到3中任一权利要求所述的方法,其中从所述低k电介质层移除的所述光致抗蚀剂包括来自所述蚀刻工艺的光致抗蚀剂及/或残留物。
12.一种在蚀刻工艺之后从作为局部制造的集成电路的一部分的工件上的低k电介质层移除材料的方法,所述工件包括所述低k电介质层的暴露区域,所述方法包括:
由包括氢、弱氧化剂及含氟化合物的气体形成第一等离子体;
使包括所述低k电介质层的暴露区域的所述工件暴露于所述第一等离子体以由此从所述工件移除蚀刻相关残留物,其中在所述暴露于所述第一等离子体期间将所述工件温度维持在低于160℃的温度下。
13.根据权利要求12所述的方法,其中在所述暴露于所述第一等离子体期间将所述工件温度维持在低于100℃的温度下。
14.根据权利要求12所述的方法,其中在所述暴露于所述第一等离子体期间将所述工件温度维持在低于90℃的温度下。
15.根权利要求12到14中任一权利要求所述的方法,其进一步包括:从包括氢及弱氧化剂的气体形成第二等离子体;以及使所述工件暴露于所述第二等离子体。
16.根据权利要求15所述的方法,其中在所述暴露于所述第二等离子体期间将所述工件温度维持在低于160℃的温度下。
17.根据权利要求15所述的方法,其中在所述暴露于所述第二等离子体期间将所述工件温度维持在低于90℃的温度下。
18.根据权利要求15所述的方法,其中所述第二等离子体大体上无氟。
19.根据权利要求15所述的方法,其中所述工件温度在暴露于所述第二等离子体期间比在暴露于所述第一等离子体期间高。
20.根据权利要求12到14中任一权利要求所述的方法,其中所述含氟化合物在所述气体中的体积百分比不大于1%。
21.一种在蚀刻工艺之后从作为局部制造的集成电路的一部分的工件上的低k电介质层移除材料的方法,所述工件包括所述低k电介质层的暴露区域,所述方法包括:由包括氢的气体形成第一等离子体,以及使包括所述低k电介质层的暴露区域的所述工件暴露于所述第一等离子体从而从所述低k电介质层移除所述材料,其中将所述工件温度维持在低于160℃的温度下。
22.根据权利要求21所述的方法,其中所述气体进一步包括弱氧化剂。
23.根据权利要求21及22中任一权利要求所述的方法,其中所述气体进一步包括含氟化合物。
24.根据权利要求21所述的方法,其中所述工件温度维持在低于100℃的温度下。
25.一种用于从工件表面移除材料的设备,所述设备包括:反应室,其包括:
等离子体源,
置于所述等离子体源的下游的喷淋头,及
在所述喷淋头的下游的工件支撑件,所述工件支撑件包括基座及用以控制支撑于所述工件支撑件上的工件的温度的温度控制机构;及
用于执行一组指令的控制器,所述组指令包括用于以下步骤的指令:由包括氢、弱氧化剂及含氟化合物的气体形成第一等离子体;使所述工件暴露于所述第一等离子体;由包括氢及弱氧化剂的气体形成第二等离子体;使所述工件暴露于所述第二等离子体,以及在所述暴露操作期间将所述工件维持在低于100℃的温度下。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/636,601 US8591661B2 (en) | 2009-12-11 | 2009-12-11 | Low damage photoresist strip method for low-K dielectrics |
US12/636,601 | 2009-12-11 | ||
PCT/US2010/059517 WO2011072042A2 (en) | 2009-12-11 | 2010-12-08 | Low damage photoresist strip method for low-k dielectrics |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102792423A CN102792423A (zh) | 2012-11-21 |
CN102792423B true CN102792423B (zh) | 2016-06-22 |
Family
ID=44141528
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080056102.5A Expired - Fee Related CN102792423B (zh) | 2009-12-11 | 2010-12-08 | 用于低k电介质的低损害光致抗蚀剂剥离方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US8591661B2 (zh) |
JP (1) | JP5911068B2 (zh) |
KR (1) | KR101908737B1 (zh) |
CN (1) | CN102792423B (zh) |
SG (1) | SG181165A1 (zh) |
TW (1) | TWI562225B (zh) |
WO (1) | WO2011072042A2 (zh) |
Families Citing this family (61)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US9478685B2 (en) | 2014-06-23 | 2016-10-25 | Zena Technologies, Inc. | Vertical pillar structured infrared detector and fabrication method for the same |
US8866065B2 (en) | 2010-12-13 | 2014-10-21 | Zena Technologies, Inc. | Nanowire arrays comprising fluorescent nanowires |
US8735797B2 (en) | 2009-12-08 | 2014-05-27 | Zena Technologies, Inc. | Nanowire photo-detector grown on a back-side illuminated image sensor |
US8274039B2 (en) | 2008-11-13 | 2012-09-25 | Zena Technologies, Inc. | Vertical waveguides with various functionality on integrated circuits |
US8229255B2 (en) | 2008-09-04 | 2012-07-24 | Zena Technologies, Inc. | Optical waveguides in image sensors |
US8890271B2 (en) * | 2010-06-30 | 2014-11-18 | Zena Technologies, Inc. | Silicon nitride light pipes for image sensors |
US8835831B2 (en) | 2010-06-22 | 2014-09-16 | Zena Technologies, Inc. | Polarized light detecting device and fabrication methods of the same |
US8299472B2 (en) | 2009-12-08 | 2012-10-30 | Young-June Yu | Active pixel sensor with nanowire structured photodetectors |
US8546742B2 (en) | 2009-06-04 | 2013-10-01 | Zena Technologies, Inc. | Array of nanowires in a single cavity with anti-reflective coating on substrate |
US9406709B2 (en) | 2010-06-22 | 2016-08-02 | President And Fellows Of Harvard College | Methods for fabricating and using nanowires |
US8748799B2 (en) | 2010-12-14 | 2014-06-10 | Zena Technologies, Inc. | Full color single pixel including doublet or quadruplet si nanowires for image sensors |
US8269985B2 (en) | 2009-05-26 | 2012-09-18 | Zena Technologies, Inc. | Determination of optimal diameters for nanowires |
US8507840B2 (en) | 2010-12-21 | 2013-08-13 | Zena Technologies, Inc. | Vertically structured passive pixel arrays and methods for fabricating the same |
US9299866B2 (en) | 2010-12-30 | 2016-03-29 | Zena Technologies, Inc. | Nanowire array based solar energy harvesting device |
US9000353B2 (en) | 2010-06-22 | 2015-04-07 | President And Fellows Of Harvard College | Light absorption and filtering properties of vertically oriented semiconductor nano wires |
US8519379B2 (en) | 2009-12-08 | 2013-08-27 | Zena Technologies, Inc. | Nanowire structured photodiode with a surrounding epitaxially grown P or N layer |
US9515218B2 (en) | 2008-09-04 | 2016-12-06 | Zena Technologies, Inc. | Vertical pillar structured photovoltaic devices with mirrors and optical claddings |
US8791470B2 (en) | 2009-10-05 | 2014-07-29 | Zena Technologies, Inc. | Nano structured LEDs |
US9343490B2 (en) | 2013-08-09 | 2016-05-17 | Zena Technologies, Inc. | Nanowire structured color filter arrays and fabrication method of the same |
US8889455B2 (en) | 2009-12-08 | 2014-11-18 | Zena Technologies, Inc. | Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor |
US9082673B2 (en) | 2009-10-05 | 2015-07-14 | Zena Technologies, Inc. | Passivated upstanding nanostructures and methods of making the same |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
KR101770008B1 (ko) | 2009-12-11 | 2017-08-21 | 노벨러스 시스템즈, 인코포레이티드 | 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정 |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
KR101357785B1 (ko) * | 2012-09-11 | 2014-02-04 | 피에스케이 주식회사 | 기판 처리 방법 |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
KR102230529B1 (ko) * | 2013-12-27 | 2021-03-19 | 엘지디스플레이 주식회사 | 유기전계발광표시소자 및 이의 제조방법 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9570320B2 (en) * | 2014-10-09 | 2017-02-14 | Lam Research Corporation | Method to etch copper barrier film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9934984B2 (en) | 2015-09-09 | 2018-04-03 | International Business Machines Corporation | Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US9730494B1 (en) * | 2016-09-23 | 2017-08-15 | Feinstein Patents, Llc | Self-fitting, self-adjusting, automatically adjusting and/or automatically fitting shoe/sneaker/footwear |
CN107015445A (zh) * | 2017-03-27 | 2017-08-04 | 武汉新芯集成电路制造有限公司 | 半导体结构表面处理方法 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
JP7022651B2 (ja) * | 2018-05-28 | 2022-02-18 | 東京エレクトロン株式会社 | 膜をエッチングする方法及びプラズマ処理装置 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20220028142A (ko) | 2019-07-18 | 2022-03-08 | 매슨 테크놀로지 인크 | 수소 라디칼 및 오존 가스를 사용한 워크피스의 처리 |
CN118140293A (zh) * | 2021-10-22 | 2024-06-04 | 朗姆研究公司 | 使用斜面清洁的剥离 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1868043A (zh) * | 2003-08-11 | 2006-11-22 | 艾克塞利斯技术公司 | 等离子体灰化方法 |
Family Cites Families (166)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4216922B2 (ja) * | 1998-05-08 | 2009-01-28 | 東京エレクトロン株式会社 | 酸化膜のエッチング方法 |
US4201579A (en) | 1978-06-05 | 1980-05-06 | Motorola, Inc. | Method for removing photoresist by hydrogen plasma |
US4357203A (en) | 1981-12-30 | 1982-11-02 | Rca Corporation | Plasma etching of polyimide |
US4699689A (en) * | 1985-05-17 | 1987-10-13 | Emergent Technologies Corporation | Method and apparatus for dry processing of substrates |
US5292393A (en) | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
JPH01200628A (ja) * | 1988-02-05 | 1989-08-11 | Toshiba Corp | ドライエッチング方法 |
US5354386A (en) | 1989-03-24 | 1994-10-11 | National Semiconductor Corporation | Method for plasma etching tapered and stepped vias |
US5122225A (en) | 1990-11-21 | 1992-06-16 | Texas Instruments Incorporated | Selective etch method |
US5716494A (en) | 1992-06-22 | 1998-02-10 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate |
US5522932A (en) | 1993-05-14 | 1996-06-04 | Applied Materials, Inc. | Corrosion-resistant apparatus |
EP0664347A3 (en) | 1994-01-25 | 1997-05-14 | Applied Materials Inc | Plant for the deposition of a uniform layer of a material on a substrate. |
US5744049A (en) * | 1994-07-18 | 1998-04-28 | Applied Materials, Inc. | Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same |
US5900351A (en) * | 1995-01-17 | 1999-05-04 | International Business Machines Corporation | Method for stripping photoresist |
US5633073A (en) | 1995-07-14 | 1997-05-27 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and eutectic connection |
US5817406A (en) | 1995-07-14 | 1998-10-06 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and brazing material connection |
JP3585591B2 (ja) | 1995-07-29 | 2004-11-04 | 株式会社半導体エネルギー研究所 | エッチング装置及びエッチング方法 |
US6045618A (en) | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6194628B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6193802B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US5792269A (en) | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
US5968324A (en) | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
US5707485A (en) | 1995-12-20 | 1998-01-13 | Micron Technology, Inc. | Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch |
JPH09205130A (ja) | 1996-01-17 | 1997-08-05 | Applied Materials Inc | ウェハ支持装置 |
US5660682A (en) | 1996-03-14 | 1997-08-26 | Lsi Logic Corporation | Plasma clean with hydrogen gas |
US5814155A (en) | 1996-06-26 | 1998-09-29 | Vlsi Technology, Inc. | Plasma ashing enhancement |
US6083852A (en) | 1997-05-07 | 2000-07-04 | Applied Materials, Inc. | Method for applying films using reduced deposition rates |
US6127262A (en) | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US6129091A (en) | 1996-10-04 | 2000-10-10 | Taiwan Semiconductor Manfacturing Company | Method for cleaning silicon wafers with deep trenches |
US6562544B1 (en) | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5911834A (en) | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US5830775A (en) * | 1996-11-26 | 1998-11-03 | Sharp Microelectronics Technology, Inc. | Raised silicided source/drain electrode formation with reduced substrate silicon consumption |
US5811358A (en) | 1997-01-03 | 1998-09-22 | Mosel Vitelic Inc. | Low temperature dry process for stripping photoresist after high dose ion implantation |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6077764A (en) | 1997-04-21 | 2000-06-20 | Applied Materials, Inc. | Process for depositing high deposition rate halogen-doped silicon oxide layer |
US6306564B1 (en) | 1997-05-27 | 2001-10-23 | Tokyo Electron Limited | Removal of resist or residue from semiconductors using supercritical carbon dioxide |
US6177023B1 (en) | 1997-07-11 | 2001-01-23 | Applied Komatsu Technology, Inc. | Method and apparatus for electrostatically maintaining substrate flatness |
JP3317209B2 (ja) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JPH1187307A (ja) * | 1997-09-05 | 1999-03-30 | Sony Corp | レジストの除去方法及びその除去装置 |
US5908672A (en) | 1997-10-15 | 1999-06-01 | Applied Materials, Inc. | Method and apparatus for depositing a planarized passivation layer |
US6797188B1 (en) * | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
US6536449B1 (en) * | 1997-11-17 | 2003-03-25 | Mattson Technology Inc. | Downstream surface cleaning process |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6203657B1 (en) * | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US5980770A (en) | 1998-04-16 | 1999-11-09 | Siemens Aktiengesellschaft | Removal of post-RIE polymer on Al/Cu metal line |
US6086952A (en) | 1998-06-15 | 2000-07-11 | Applied Materials, Inc. | Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer |
US6800571B2 (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
US6342446B1 (en) | 1998-10-06 | 2002-01-29 | Texas Instruments Incorporated | Plasma process for organic residue removal from copper |
US6171945B1 (en) | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6107184A (en) | 1998-12-09 | 2000-08-22 | Applied Materials, Inc. | Nano-porous copolymer films having low dielectric constants |
US6417080B1 (en) | 1999-01-28 | 2002-07-09 | Canon Kabushiki Kaisha | Method of processing residue of ion implanted photoresist, and method of producing semiconductor device |
US6130166A (en) | 1999-02-01 | 2000-10-10 | Vlsi Technology, Inc. | Alternative plasma chemistry for enhanced photoresist removal |
US6204192B1 (en) | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US6709715B1 (en) | 1999-06-17 | 2004-03-23 | Applied Materials Inc. | Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6492186B1 (en) * | 1999-08-05 | 2002-12-10 | Eaton Corporation | Method for detecting an endpoint for an oxygen free plasma process |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6767698B2 (en) | 1999-09-29 | 2004-07-27 | Tokyo Electron Limited | High speed stripping for damaged photoresist |
JP4221847B2 (ja) | 1999-10-25 | 2009-02-12 | パナソニック電工株式会社 | プラズマ処理装置及びプラズマ点灯方法 |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
US6352938B2 (en) | 1999-12-09 | 2002-03-05 | United Microelectronics Corp. | Method of removing photoresist and reducing native oxide in dual damascene copper process |
US6365516B1 (en) * | 2000-01-14 | 2002-04-02 | Advanced Micro Devices, Inc. | Advanced cobalt silicidation with in-situ hydrogen plasma clean |
US6184134B1 (en) | 2000-02-18 | 2001-02-06 | Infineon Technologies North America Corp. | Dry process for cleaning residues/polymers after metal etch |
JP4470274B2 (ja) | 2000-04-26 | 2010-06-02 | 東京エレクトロン株式会社 | 熱処理装置 |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6426304B1 (en) | 2000-06-30 | 2002-07-30 | Lam Research Corporation | Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications |
US20020185226A1 (en) * | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
DE10051380C2 (de) | 2000-10-17 | 2002-11-28 | Advanced Micro Devices Inc | Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals |
US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
US6692903B2 (en) * | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6733594B2 (en) | 2000-12-21 | 2004-05-11 | Lam Research Corporation | Method and apparatus for reducing He backside faults during wafer processing |
US6479391B2 (en) * | 2000-12-22 | 2002-11-12 | Intel Corporation | Method for making a dual damascene interconnect using a multilayer hard mask |
US20020127853A1 (en) | 2000-12-29 | 2002-09-12 | Hubacek Jerome S. | Electrode for plasma processes and method for manufacture and use thereof |
US6319842B1 (en) | 2001-01-02 | 2001-11-20 | Novellus Systems Incorporated | Method of cleansing vias in semiconductor wafer having metal conductive layer |
US6589879B2 (en) | 2001-01-18 | 2003-07-08 | Applied Materials, Inc. | Nitride open etch process based on trifluoromethane and sulfur hexafluoride |
US6777344B2 (en) | 2001-02-12 | 2004-08-17 | Lam Research Corporation | Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications |
WO2002065532A1 (fr) | 2001-02-15 | 2002-08-22 | Tokyo Electron Limited | Procede de traitement de piece et dispositif de traitement |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US6723654B2 (en) | 2001-03-30 | 2004-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer |
US6834656B2 (en) | 2001-05-23 | 2004-12-28 | Axcelis Technology, Inc. | Plasma process for removing polymer and residues from substrates |
US6875702B2 (en) | 2001-06-11 | 2005-04-05 | Lsi Logic Corporation | Plasma treatment system |
US6632735B2 (en) | 2001-08-07 | 2003-10-14 | Applied Materials, Inc. | Method of depositing low dielectric constant carbon doped silicon oxide |
US6872652B2 (en) | 2001-08-28 | 2005-03-29 | Infineon Technologies Ag | Method of cleaning an inter-level dielectric interconnect |
US20030045098A1 (en) | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP5038567B2 (ja) * | 2001-09-26 | 2012-10-03 | 東京エレクトロン株式会社 | エッチング方法 |
JP4838464B2 (ja) * | 2001-09-26 | 2011-12-14 | 東京エレクトロン株式会社 | 処理方法 |
US6680164B2 (en) | 2001-11-30 | 2004-01-20 | Applied Materials Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
US6720132B2 (en) | 2002-01-08 | 2004-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bi-layer photoresist dry development and reactive ion etch method |
US7390755B1 (en) * | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6848455B1 (en) | 2002-04-22 | 2005-02-01 | Novellus Systems, Inc. | Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species |
US7074298B2 (en) | 2002-05-17 | 2006-07-11 | Applied Materials | High density plasma CVD chamber |
US7833957B2 (en) | 2002-08-22 | 2010-11-16 | Daikin Industries, Ltd. | Removing solution |
US6900135B2 (en) | 2002-08-27 | 2005-05-31 | Applied Materials, Inc. | Buffer station for wafer backside cleaning and inspection |
US6693043B1 (en) * | 2002-09-20 | 2004-02-17 | Novellus Systems, Inc. | Method for removing photoresist from low-k films in a downstream plasma system |
US6837967B1 (en) | 2002-11-06 | 2005-01-04 | Lsi Logic Corporation | Method and apparatus for cleaning deposited films from the edge of a wafer |
US6787452B2 (en) | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
US6780782B1 (en) | 2003-02-04 | 2004-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bi-level resist structure and fabrication method for contact holes on semiconductor substrates |
US20040195208A1 (en) * | 2003-02-15 | 2004-10-07 | Pavel Elizabeth G. | Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal |
US7205240B2 (en) * | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7256134B2 (en) * | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US6924239B2 (en) | 2003-10-14 | 2005-08-02 | Texas Instruments Incorporated | Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation |
US20050106888A1 (en) | 2003-11-14 | 2005-05-19 | Taiwan Semiconductor Manufacturing Co. | Method of in-situ damage removal - post O2 dry process |
US20050158667A1 (en) | 2004-01-20 | 2005-07-21 | Applied Materials, Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
US7361605B2 (en) | 2004-01-20 | 2008-04-22 | Mattson Technology, Inc. | System and method for removal of photoresist and residues following contact etch with a stop layer present |
JP2005268312A (ja) * | 2004-03-16 | 2005-09-29 | Semiconductor Leading Edge Technologies Inc | レジスト除去方法及びそれを用いて製造した半導体装置 |
US7628864B2 (en) | 2004-04-28 | 2009-12-08 | Tokyo Electron Limited | Substrate cleaning apparatus and method |
KR100971799B1 (ko) * | 2004-06-21 | 2010-07-22 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체 |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7632756B2 (en) | 2004-08-26 | 2009-12-15 | Applied Materials, Inc. | Semiconductor processing using energized hydrogen gas and in combination with wet cleaning |
JP2006073612A (ja) * | 2004-08-31 | 2006-03-16 | Rohm Co Ltd | レジスト除去方法 |
US7597816B2 (en) | 2004-09-03 | 2009-10-06 | Lam Research Corporation | Wafer bevel polymer removal |
US20060102197A1 (en) | 2004-11-16 | 2006-05-18 | Kang-Lie Chiang | Post-etch treatment to remove residues |
US8193096B2 (en) * | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7202176B1 (en) | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
KR100607777B1 (ko) * | 2004-12-27 | 2006-08-01 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 |
DE102004063036A1 (de) * | 2004-12-28 | 2006-07-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Ausbilden von Kontaktflecken |
US7601272B2 (en) | 2005-01-08 | 2009-10-13 | Applied Materials, Inc. | Method and apparatus for integrating metrology with etch processing |
US7344993B2 (en) * | 2005-01-11 | 2008-03-18 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
US7268071B2 (en) | 2005-01-12 | 2007-09-11 | Sony Corporation | Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping |
JP2006203035A (ja) * | 2005-01-21 | 2006-08-03 | Tokyo Electron Ltd | プラズマエッチング方法 |
US7432172B2 (en) | 2005-01-21 | 2008-10-07 | Tokyo Electron Limited | Plasma etching method |
US7198677B2 (en) | 2005-03-09 | 2007-04-03 | Wafermasters, Inc. | Low temperature wafer backside cleaning |
US8129281B1 (en) * | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP2007019367A (ja) | 2005-07-11 | 2007-01-25 | Ricoh Co Ltd | 半導体装置の製造方法 |
US7411298B2 (en) * | 2005-08-17 | 2008-08-12 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices |
US7468326B2 (en) | 2005-08-24 | 2008-12-23 | United Microelectronics Corp. | Method of cleaning a wafer |
US7465680B2 (en) | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
JP2007109744A (ja) * | 2005-10-11 | 2007-04-26 | Tokuyama Corp | 基板洗浄液 |
KR100742279B1 (ko) | 2005-12-22 | 2007-07-24 | 삼성전자주식회사 | 반도체 소자의 제조 장치 및 방법 |
KR20070069802A (ko) | 2005-12-28 | 2007-07-03 | 엘지.필립스 엘시디 주식회사 | 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법 |
US8061459B2 (en) | 2006-01-17 | 2011-11-22 | GM Global Technology Operations LLC | Traction control method for a tracked vehicle |
US7432209B2 (en) * | 2006-03-22 | 2008-10-07 | Applied Materials, Inc. | Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material |
US8034176B2 (en) * | 2006-03-28 | 2011-10-11 | Tokyo Electron Limited | Gas distribution system for a post-etch treatment system |
US7851369B2 (en) | 2006-06-05 | 2010-12-14 | Lam Research Corporation | Hardmask trim method |
US7740768B1 (en) * | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US7655571B2 (en) * | 2006-10-26 | 2010-02-02 | Applied Materials, Inc. | Integrated method and apparatus for efficient removal of halogen residues from etched substrates |
US7595005B2 (en) | 2006-12-11 | 2009-09-29 | Tokyo Electron Limited | Method and apparatus for ashing a substrate using carbon dioxide |
US8083963B2 (en) * | 2007-02-08 | 2011-12-27 | Applied Materials, Inc. | Removal of process residues on the backside of a substrate |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
KR101440282B1 (ko) * | 2007-07-11 | 2014-09-17 | 주성엔지니어링(주) | 플라즈마 세정 방법 |
US20090061623A1 (en) * | 2007-09-05 | 2009-03-05 | United Microelectronics Corp. | Method of forming electrical connection structure |
JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
JP5102653B2 (ja) | 2008-02-29 | 2012-12-19 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
US20090277871A1 (en) * | 2008-03-05 | 2009-11-12 | Axcelis Technologies, Inc. | Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process |
US8791001B2 (en) * | 2008-09-08 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | N2 based plasma treatment and ash for HK metal gate protection |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US20120024314A1 (en) | 2010-07-27 | 2012-02-02 | Axcelis Technologies, Inc. | Plasma mediated ashing processes |
WO2011008436A2 (en) * | 2009-07-13 | 2011-01-20 | Applied Materials, Inc. | Method for removing implanted photo resist from hard disk drive substrates |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
KR101770008B1 (ko) | 2009-12-11 | 2017-08-21 | 노벨러스 시스템즈, 인코포레이티드 | 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정 |
US9613825B2 (en) * | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
-
2009
- 2009-12-11 US US12/636,601 patent/US8591661B2/en not_active Expired - Fee Related
-
2010
- 2010-12-08 KR KR1020127015129A patent/KR101908737B1/ko active IP Right Grant
- 2010-12-08 WO PCT/US2010/059517 patent/WO2011072042A2/en active Application Filing
- 2010-12-08 JP JP2012543254A patent/JP5911068B2/ja not_active Expired - Fee Related
- 2010-12-08 CN CN201080056102.5A patent/CN102792423B/zh not_active Expired - Fee Related
- 2010-12-08 SG SG2012040929A patent/SG181165A1/en unknown
- 2010-12-10 TW TW099143368A patent/TWI562225B/zh not_active IP Right Cessation
-
2013
- 2013-10-29 US US14/066,587 patent/US20140120733A1/en not_active Abandoned
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1868043A (zh) * | 2003-08-11 | 2006-11-22 | 艾克塞利斯技术公司 | 等离子体灰化方法 |
Also Published As
Publication number | Publication date |
---|---|
JP2013513948A (ja) | 2013-04-22 |
TW201137970A (en) | 2011-11-01 |
US20140120733A1 (en) | 2014-05-01 |
WO2011072042A3 (en) | 2011-09-09 |
SG181165A1 (en) | 2012-07-30 |
JP5911068B2 (ja) | 2016-04-27 |
KR101908737B1 (ko) | 2018-10-16 |
US8591661B2 (en) | 2013-11-26 |
KR20120098777A (ko) | 2012-09-05 |
WO2011072042A2 (en) | 2011-06-16 |
CN102792423A (zh) | 2012-11-21 |
TWI562225B (en) | 2016-12-11 |
US20110139176A1 (en) | 2011-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102792423B (zh) | 用于低k电介质的低损害光致抗蚀剂剥离方法 | |
US8058178B1 (en) | Photoresist strip method for low-k dielectrics | |
US7276447B1 (en) | Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material | |
US7192878B2 (en) | Method for removing post-etch residue from wafer surface | |
US7432209B2 (en) | Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material | |
US6844266B2 (en) | Anisotropic etching of organic-containing insulating layers | |
KR100778260B1 (ko) | 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스 | |
KR102083680B1 (ko) | 유기 하드마스크들을 에칭하는 방법 | |
US7244313B1 (en) | Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps | |
EP0243273A2 (en) | Method for planarizing semiconductor substrates | |
JP2006013190A (ja) | 半導体装置の製造方法 | |
US6187666B1 (en) | CVD plasma process to fill contact hole in damascene process | |
JP2008218959A (ja) | エッチング方法および記憶媒体 | |
JP2008098418A (ja) | 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体 | |
US7226875B2 (en) | Method for enhancing FSG film stability |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20160622 Termination date: 20211208 |
|
CF01 | Termination of patent right due to non-payment of annual fee |