KR20100102106A - 기상성장용 서셉터 및 기상성장 장치 - Google Patents

기상성장용 서셉터 및 기상성장 장치 Download PDF

Info

Publication number
KR20100102106A
KR20100102106A KR1020107012307A KR20107012307A KR20100102106A KR 20100102106 A KR20100102106 A KR 20100102106A KR 1020107012307 A KR1020107012307 A KR 1020107012307A KR 20107012307 A KR20107012307 A KR 20107012307A KR 20100102106 A KR20100102106 A KR 20100102106A
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
outer peripheral
vapor phase
groove depth
Prior art date
Application number
KR1020107012307A
Other languages
English (en)
Inventor
쯔요시 니시자와
Original Assignee
신에쯔 한도타이 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쯔 한도타이 가부시키가이샤 filed Critical 신에쯔 한도타이 가부시키가이샤
Publication of KR20100102106A publication Critical patent/KR20100102106A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 발명은, 웨이퍼 표면에 박막을 기상성장시키기 위한 기상성장 장치에 있어서 웨이퍼를 지지하기 위한 서셉터에 관한 것으로, 그 서셉터에는 웨이퍼를 수용할 수 있는 우묵한 부분이 형성되고, 그 우묵한 부분의 바닥면에는 메시패턴의 홈에 의해 다수의 방형 볼록부가 형성되어 있으며, 상기 우묵한 부분의 바닥면의 외주부에서의 홈 깊이가 중앙부보다 얕은 것을 특징으로 하는 기상성장용 서셉터에 관한 것이다. 이에 따라, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 퇴적 등의 문제를 개선시킬 수 있다.

Description

기상성장용 서셉터 및 기상성장 장치{SUSCEPTOR FOR VAPOR PHASE EPITAXY AND VAPOR PHASE EPITAXY APPARATUS}
본 발명은, 기상(氣相)성장에 의한 실리콘 에피택셜 웨이퍼의 제조에 있어서, 실리콘 단결정 기판을 재치하는 기상성장용 서셉터와, 이를 구비하는 기상성장 장치에 관한 것이다.
종래부터, 실리콘 단결정 기판(이하, 간단히 「웨이퍼」라고도 함)의 주표면 상에 실리콘 에피택셜층(이하, 간단히 「에피택셜층」이라고도 함)을 기상성장시켜 실리콘 에피택셜 웨이퍼(이하, 간단히 「에피택셜 웨이퍼」라고도 함)를 제조하는 방법이 알려져 있다.
이같은 에피택셜 웨이퍼의 제조는, 반응용기 내에 배치한 웨이퍼를 가열하면서 해당 웨이퍼의 주표면 상에 실리콘 원료 가스를 공급하여, 에피택셜층을 기상성장시킴으로써 행한다.
일반적으로 웨이퍼는 우묵한 부분(部)이 마련된 서셉터로 유지되면서 가열되지만, 서셉터의 우묵한 부분의 바닥면에 메시패턴의 홈이 형성되는 경우가 있다(일본 특개평 8-8198호 공보). 홈을 형성하는 주목적은 가스의 통로를 형성하는데 있는데, 웨이퍼 재치시의 위치 어긋남을 방지할 뿐만 아니라, 웨이퍼 취출시에 용이하게 서셉터로부터 떼어낼 수 있다는 효과가 있다.
그러나, 메시패턴의 홈 형상은, 웨이퍼 재치시의 휨이나 웨이퍼 외주부의 온도 저하나 이면 외주부로의 실리콘의 퇴적이라는 에피택셜 웨이퍼의 품질에 영향을 준다.
일반적으로, 매엽식 리액터에서는 스루풋을 향상시키기 위해, 웨이퍼의 재치를, 서셉터의 온도 400℃~900℃의 고온 상태에서 행한다. 이 때, 실온이었던 웨이퍼가 서셉터 상에서 급격하게 가열되므로, 순간적으로 1~15mm 정도의 휨이 생긴다. 웨이퍼 재치시의 휨은, 앞서 설명한 통상 가열시의 휨과 비교했을 때 100배 이상으로 훨씬 커서, 웨이퍼 이면 중심과 서셉터가 접촉됨으로써 흠집이 나거나, 웨이퍼가 웨이퍼 재치용 이재기와 접촉됨으로써 흠집이 나는 경우가 있다.
또한, 메시패턴의 홈이 형성되어 있는 서셉터는, 홈이 없는 서셉터와 비교했을 때, 웨이퍼 외주부의 온도가 저하되기 쉬운 경향이 있다. 웨이퍼 외주부의 온도가 저하되면 외주에서 에피택셜층의 막 두께가 쉽게 얇아져, 웨이퍼 면내의 막 두께 분포가 악화되는 원인이 된다.
또한, 웨이퍼 이면과 서셉터 사이에 들어온 실리콘 소스 가스가 웨이퍼 이면에 퇴적되어, 평탄도가 악화되는 경우가 있다(도 4 참조). 특히 이면에 산화막이 형성된 웨이퍼에서는 이면 최외주부의 0.5~1mm의 산화막을 제거하는 처리(노듈처리)가 행해지고 있지만, 산화막 제거 처리부분에 집중적으로 실리콘이 퇴적되므로, 이 경우에는 평탄도가 더욱 악화된다.
본 발명은 상기 과제를 감안하여 이루어진 것으로, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적 등의 문제를 개선하기 위한 기상성장용 서셉터와 이를 구비한 기상성장 장치를 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위한 본 발명은, 웨이퍼 표면에 박막을 기상성장시키기 위한 기상성장 장치에 있어서 웨이퍼를 지지하기 위한 서셉터로서, 그 서셉터에는 웨이퍼를 수용할 수 있는 우묵한 부분이 형성되고, 그 우묵한 부분의 바닥면에는 메시패턴의 홈에 의해 다수의 방형(方形)볼록부가 형성되어 있으며, 상기 우묵한 부분의 바닥면의 외주부에서의 홈 깊이가 중앙부보다 얕은 것을 특징으로 하는 기상성장용 서셉터이다.
이와 같이, 웨이퍼를 재치하는 우묵한 부분의 바닥면에 메시패턴의 홈에 의해 다수의 방형 볼록부가 형성되어 있는 서셉터에 있어서, 홈 깊이가 우묵한 부분의 바닥면에서 똑같지 않고, 상기 우묵한 부분의 바닥면의 외주부에서의 홈 깊이가 중앙부보다 얕은 기상성장용 서셉터라면, 웨이퍼 외주부의 온도 저하에 따른 막 두께 저하를 막고, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적을 개선시킬 수 있어, 고품질 에피택셜 웨이퍼를 얻을 수 있다.
또한, 상기 중앙부로부터 상기 외주부에 걸쳐 생기는 홈 깊이의 변화는, 연속적으로 얕아지는 것이 바람직하다.
이와 같이, 상기 기상성장용 서셉터에 있어서, 웨이퍼 재치면인 우묵한 부분의 바닥면의 중앙부로부터 외주부에 걸쳐 생기는 홈 깊이의 변화가 연속적으로 얕아지는 것이라면, 중앙부와 외주부의 경계부에 있어서, 급격하게 온도가 변하여 에피택셜층의 막 두께가 급격하게 변할 우려가 없으며, 나노토폴로지(nanotopography)나 SEMI 규격의 평탄도의 정의 중 하나인 SFQR(Site flateness least square range)이 악화되는 것을 막을 수 있어, 고품질의 에피택셜 웨이퍼를 얻을 수 있다.
또한, 상기 우묵한 부분의 바닥면의 외주부에서의 가장 얕은 홈 깊이는 0.01~0.08mm의 범위이며, 상기 외주부보다 내측의 중앙부에서의 가장 깊은 홈 깊이는 0.1~0.5mm의 범위인 것이 바람직하다.
이와 같이, 상기 기상성장용 서셉터에 있어서, 상기 우묵한 부분의 바닥면의 외주부에서의 가장 얕은 홈 깊이가 0.01~0.08mm의 범위이며, 상기 외주부보다 내측의 중앙부에서의 가장 깊은 홈 깊이가 0.1~0.5mm의 범위이면, 웨이퍼의 외주부 온도 저하를 방지하고, 웨이퍼 이면 외주부로의 실리콘 퇴적을 개선시킬 수 있으며, 또한, 웨이퍼의 슬라이드나 휨을 방지할 수 있다.
또한, 상기 외주부와 상기 중앙부의 경계는 동심원상이고, 상기 외주부의 영역은 상기 우묵한 부분의 바닥면의 외주단으로부터 10mm~50mm의 범위인 것이 바람직하다.
이와 같이, 상기 기상성장용 서셉터에 있어서, 웨이퍼 재치면인 우묵한 부분의 바닥면의 외주부와 중앙부의 경계가 동심원상이고, 상기 외주부의 영역이 상기 우묵한 부분의 바닥면의 외주단으로부터 10mm~50mm의 범위이면, 서셉터로의 재치시 웨이퍼의 슬라이드나 휨이 개선되어, 균일성이 우수한 에피택셜 웨이퍼를 얻을 수 있다.
또한, 상기 서셉터는 흑연제 기재를 탄화규소로 피복시킨 것으로 이루어진 것이 바람직하다.
이와 같이, 상기 기상성장용 서셉터가, 흑연제 기재를 탄화규소로 피복시킨 것이라면, 수율이 높고, 불순물을 방출하기 어려움과 함께, 열전도성이나 내구성이 우수한 고품질의 서셉터로 할 수 있다.
또한, 본 발명은, 적어도, 상기의 기상성장용 서셉터를 구비하는 것을 특징으로 하는 기상성장 장치를 제공한다.
이와 같이, 적어도, 상기의 기상성장용 서셉터를 구비하는 기상성장 장치라면, 웨이퍼 외주부의 온도 저하에 따른 막 두께 저하를 막고, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적을 개선할 수 있어, 고품질의 에피택셜 웨이퍼를 얻을 수 있는 기상성장 장치가 된다.
본 발명에 의하면, 웨이퍼 재치면에 메시패턴의 홈에 의해 형성된 다수의 방형 볼록부를 가지는 기상성장용 서셉터에 있어서, 홈 깊이가 웨이퍼 재치면에서 똑같지 않고, 중앙부보다 외주부 쪽이 얕아짐으로써, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적을 개선시킬 수 있다.
도 1은, 본 발명에 관한 기상성장용 서셉터의 일례를 나타내는 도면으로서, (a)는 단면도, (b)는 평면도, (c)는 볼록부 확대단면도, (d)는 볼록부의 정면(頂面) 확대도이다.
도 2는, 본 발명에 관한 기상성장 장치의 일례를 나타내는 도면이다.
도 3은, 실시예, 비교예에서 제조한 서셉터를 나타내는 도면이다.
도 4는, 이면 외주부 실리콘 퇴적에 관한 설명도이다.
이하, 본 발명에 대하여 실시의 형태를 설명하지만, 본 발명은 이에 한정되는 것은 아니다.
종래, 우묵한 부분의 바닥면에 메시패턴의 홈이 형성된 서셉터에서는, 웨이퍼 외주부의 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적 등의 문제가 있었다.
상기 과제를 해결하기 위하여, 본 발명자는 다양한 서셉터의 메시패턴의 홈 형상에 대하여, 재치시 웨이퍼의 휨과 웨이퍼 외주온도 저하량 및 웨이퍼 이면부로의 실리콘 퇴적량에 대하여 검토하였다. 그 결과, 웨이퍼를 재치하는 우묵한 부분의 바닥면에 메시패턴의 홈에 의해 형성된 다수의 방형 볼록부를 가지는 실리콘 에피택셜 웨이퍼의 제조용 서셉터에 있어서, 종래의 서셉터처럼 홈 깊이가 웨이퍼 재치면에서 똑같이 않고, 중앙부보다 외주부 쪽이 얕은 서셉터를 사용함으로써, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘 퇴적을 개선할 수 있다는 것을 발견하였다. 따라서, 에피택셜층의 면내 균일성의 개선, 휨으로 인한 흠집의 발생 억제, 이면으로의 퇴적의 개선에 따른 평탄도의 향상 등을 도모할 수 있다.
이하, 본 발명의 실시의 형태에 대하여 도면을 참조하여 설명하지만, 본 발명은 이것에 한정되는 것은 아니다.
먼저, 도 1은 본 발명에 관한 기상성장용 서셉터의 일례를 나타내는 도면이다.
도 1(a)에 나타난 바와 같이, 서셉터(1)는, 예를 들어, 대략 원반형상으로 형성되고, 그 주표면에는, 해당 주표면 상에 웨이퍼를 수용하기 위한, 평면으로 보아 대략 원형상의 패임부인 우묵한 부분(2)이 형성되어 있다. 또한, 도 1(a), (b)에 나타난 바와 같이, 우묵한 부분의 바닥면(3) 상에 가스 통로로서 메시패턴의 홈이 마련되고, 다수의 방형 볼록부(6)가 형성되어 있다. 또한, 상기 서셉터(1)는, 우묵한 부분의 바닥면의 외주부(4)에서의 홈 깊이가 중앙부(5)에서의 홈 깊이보다 얕게 되어 있다(도 1(a) 참조).
또한, 도 1(c) 및 (d)는, 상기 서셉터(1)에서의 메시패턴의 홈에 의한 방형 볼록부(6)의 확대도이며, 이 홈은 0.6~2mm의 피치로 형성되고(도 1(c) 참조), 이 홈에 둘러싸여 형성되는 볼록부는 정면(頂面)이 0.1~0.5mm인 사방(四方) 정방형인 것이 바람직하다(도 1(d) 참조). 그리고 이 메시패턴의 홈은, 웨이퍼 재치시의 위치 어긋남을 방지하는 것 외에도, 웨이퍼 분리시에 서셉터(1)로부터 쉽게 떼어낼 수 있다는 효과를 나타낼 수 있다.
또한, 상기 서셉터(1)에 있어서, 중앙부(5)로부터 외주부(4)에 걸쳐 생기는 홈 깊이의 변화는, 연속적으로 얕아지는 것이 바람직하다. 중앙부로부터 외주부에 걸쳐 생기는 홈 깊이의 변화가 연속적인 것이라면, 경계부에서 급격하게 온도가 변하는 일 없이, 에피택셜층의 막 두께가 급격하게 변하여, 나노토폴로지나 SFQR을 악화시키는 것을 막을 수 있다. 이 같은 품질 악화를 피하기 위해서라도, 홈 깊이의 변화는 연속적으로 하는 것이 좋다.
웨이퍼 외주부의 온도 저하는, 홈의 깊이와도 관계가 있는데, 홈이 깊을수록 온도 저하가 큰 경향이 있다. 또한, 이면으로의 실리콘 퇴적도 홈이 깊을수록 퇴적되기 쉬운 경향이 있으므로, 외주부의 홈 깊이는 얕을수록 바람직하지만, 완전하게 홈을 없애지 않고 얕은 홈을 형성해 놓으면, 가스의 통로가 막히는 일은 없으며, 서셉터로의 재치시에 웨이퍼가 쉽게 슬라이딩 될 우려가 없다. 따라서, 우묵한 부분의 바닥면의 외주부(4)에서의 가장 얕은 홈 깊이는 0.01~0.08mm의 범위, 상기 외주부(4)보다 내측의 중앙부(5)에서의 가장 깊은 홈 깊이는 0.1~0.5mm의 범위인 것이 바람직하다. 이같은 서셉터라면, 웨이퍼 외주의 온도 저하를 방지하고, 이면으로의 실리콘 퇴적을 개선할 수 있으며, 또한, 웨이퍼의 슬라이드나 휨을 방지할 수 있다.
또한, 상기 서셉터(1)에 있어서, 우묵한 부분의 바닥면의 외주부(4)와 중앙부(5)의 경계는 동심원상이고, 상기 외주부(4) 영역은 상기 우묵한 부분의 바닥면의 외주단으로부터 10mm~50mm의 범위인 것이 바람직하다.
서셉터로의 재치시 웨이퍼의 슬라이드나 휨은, 웨이퍼 재치면의 홈이 깊을수록 개선된다. 따라서, 우묵한 부분의 바닥면에 있어서, 홈이 깊은 중앙부의 면적은 넓은 것이 좋다. 그러나, 중앙부의 면적을 너무 넓게 하면, 홈이 얕은 외주부 면적이 좁아져, 상술한 바와 같이 외주부의 온도 저하나 이면 외주부로의 실리콘 퇴적량의 증가 등의 문제가 있다. 따라서, 외주부의 영역은 우묵한 부분의 바닥면의 외주단으로부터 10mm~50mm의 범위로 하는 것이 바람직하다. 또한, 외주부와 중앙부의 경계를 동심원상으로 함에 따라, 면내 균일성이 우수한 에피택셜 웨이퍼를 제조할 수 있다.
또한, 상기 서셉터(1)의 구성 재료로는, 기재에 흑연을, 피막에 탄화규소를 사용하는 것이 바람직하다. 기재로서 흑연의 사용이 바람직한 이유는, 개발 당초의 기상성장 장치의 가열방식의 주류가 고주파 유도 가열이었던 것과 관련있지만, 그 밖에도 고순도품을 쉽게 얻을 수 있는 점, 가공이 용이한 점, 열전도성이 우수한 점, 쉽게 파손되지 않는 점 등의 이점이 있기 때문이다. 단, 흑연은 다공질체이므로, 프로세스 중에 흡장가스를 방출할 가능성이 있으며, 또한, 기상성장 과정에서, 흑연과 원료가스가 반응하여 서셉터 표면이 탄화규소로 변하는 등의 문제가 있다. 그러므로, 표면을 처음부터 탄화규소의 피막으로 덮는 구성이 일반화되어 있다. 이 탄화규소의 피막은 통상 CVD(화학적 기상성장법)에 의해 50~200μm의 두께로 형성된다.
다음에, 본 발명에 관한 기상성장 장치의 일례를 도 2에 나타낸다. 도 2에 나타난 바와 같이, 기상성장 장치(11)는 투명석영으로 이루어진 반응용기(12)와 반응용기의 내부에 마련되어 실리콘 기판(웨이퍼)(W)을 상면으로 지지하는 서셉터(13)을 구비하고 있다. 이 기상성장 장치(11)에 구비되는 서셉터(13)는, 본 발명에 따른 서셉터로서, 예를 들어, 도 1에 나타내는 서셉터(1)를 사용할 수 있다.
반응용기(12)에는, 반응용기(12) 내에 원료가스(예를 들어, 트리클로로실란) 및 캐리어가스(예를 들어, 수소)를 포함하는 기상성장용 가스를 서셉터의 상측 영역에 도입하여 서셉터 상의 웨이퍼의 주표면 상에 공급하는 기상성장용 가스 도입관(14)이 마련되어 있다. 또한, 반응용기의 기상성장용 가스 도입관이 마련된 측과 동일한 측에는 반응용기에 퍼지가스(예를 들어, 수소)를 서셉터의 하측 영역에 도입하는 퍼지가스관(15)이 마련되어 있다.
또한, 반응용기의, 기상성장용 가스 도입관 및 퍼지가스 도입관이 마련된 측의 반대측에는, 반응용기 내의 가스(기상성장용 및 퍼지가스)가 배기되는 배기관(16)이 마련되어 있다.
반응용기 외부에는 반응용기(12)를 상측과 하측에서부터 가열하는 복수의 가열장치(17a, 17b)가 마련되어 있다. 가열장치로서는, 예를 들어, 할로겐 램프 등을 들 수 있다. 또한, 편의상 가열장치의 수량을 정하였지만, 이에 한정되는 것은 아니다.
또한, 서셉터(13) 이면에는 서셉터(13)를 지지하는 서셉터 지지부재(18)가 마련되어 있다. 이 서셉터 지지부재는, 상하방향으로 이동 가능하며, 회전 또한 가능하다.
또한, 상기와 같은 본 발명에 관한 기상성장용 서셉터를 포함하는 기상성장 장치(11)를 사용하여 아래와 같은 방법으로 에피택셜 웨이퍼를 제조할 수 있다. 먼저, 투입온도(예를 들어, 650℃)로 조정한 반응용기(12) 내에 웨이퍼(W)를 투입하고, 그 주표면이 위를 향하도록, 서셉터 상면의 우묵한 부분(13a)에 재치한다. 여기서, 반응용기(12)에는 웨이퍼(W)가 투입되기 전단계부터, 기상성장용 가스 도입관(14) 및 퍼지가스관(15) 각각을 통하여 수소가스가 도입되고 있다. 그 다음, 서셉터(13) 상의 웨이퍼를 가열장치(17a, 17b)에 의해 수소 열처리온도(예를 들어, 1110~1180℃)까지 가열한다.
다음에, 웨이퍼(W)의 주표면에 형성되어 있는 자연산화막을 제거하기 위한 기상 에칭을 행한다. 또한, 이 기상 에칭은, 구체적으로는, 다음 공정인 기상성장 직전까지 행해진다.
그 다음, 웨이퍼(W)를 원하는 성장온도(예를 들어, 1060~1150℃)까지 강온시키고, 기상성장용 가스 도입관(14)을 통해 웨이퍼(W)의 주표면 상에 원료가스(예를 들어, 트리클로로실란)를, 퍼지가스 도입관(15)을 통해 퍼지가스(캐리어가스: 예를 들어, 수소)를 각각 대략 수평으로 공급함으로써, 웨이퍼(W)의 주표면 상에 에피택셜층을 기상성장하여 에피택셜 웨이퍼를 제조한다. 또한, 퍼지가스는 원료가스보다 고압으로 공급된다. 이는 반응용기(12)와 서셉터(13) 사이의 극간으로부터 하측의 공간으로의 원료가스의 진입을 방지하기 위해서이다.
마지막으로, 에피택셜 웨이퍼를 취출온도(예를 들어, 650℃)까지 강온시켜, 반응용기(12) 밖으로 반출한다.
이와 같이, 본 발명에 관한 기상성장용 서셉터를 구비하는 기상성장 장치에서 에피택셜 웨이퍼를 제조한다면, 웨이퍼 재치면에 메시패턴의 홈에 의해 형성된 다수의 방형 볼록부를 가지는 기상성장용 서셉터에 있어서, 홈 깊이가 웨이퍼 재치면에서 일정하지 않고, 중앙부보다 외주부 쪽을 얕게 함으로써, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하에 따른 에피택셜층의 막 두께 균일성의 열화, 웨이퍼 재치시의 휨에 의한 흠집 발생, 웨이퍼 이면 외주부로의 실리콘 퇴적에 의한 평탄도의 악화 등의 문제를 개선할 수 있다.
이하에서, 본 발명의 실시예, 비교예를 들어 더욱 구체적으로 설명하지만, 본 발명은 이것에 한정되는 것은 아니다.
(실시예, 비교예)
실시예 1, 비교예 1, 2, 3으로서 도 3에 나타난 형상의 서셉터를 제조하고, 이들을 사용하여 에피택셜 웨이퍼를 제조하였다. 도 3(a)는, 종래 형상의 기상성장용 서셉터로서, 우묵한 부분의 바닥면의 홈 깊이는 전면일률로 0.1mm이다(비교예 1). 또한, 도 3(b)에 나타난 서셉터는 전면일률 0.02mm로 하였다(비교예 2). 또한, 도 3(c)에 나타난 바와 같이, 홈 깊이는 우묵한 부분의 바닥면의 직경 180mm까지의 중앙부 영역에서 0.1mm로 하고, 직경 180mm보다 외측은 홈이 없는 형상의 서셉터(비교예 3)로 제조하였다. 또한, 도 3(d)에 나타나는 바와 같이, 우묵한 부분의 바닥면의 홈 깊이를 직경 180mm까지의 중앙부 영역에서 0.1mm으로 하고, 그곳에서부터 외주측을 향하여 0.02mm로 경사적으로 변화시킨 형상을 가지는 서셉터(실시예 1)를 제조하였다.
또한, 상기 실시예 1, 비교예 1-3에서의 각 서셉터에 있어서, 탄화규소에 의한 피복의 두께는 100μm, 우묵한 부분의 직경은 208mm, 메시피치는 0.7mm, 홈의 폭은 0.4mm로 통일하였다.
(1) 외주온도 저하 개산(槪算)
먼저, 온도평가용 시험용 웨이퍼로서, 직경 200mm, 저항률 10Ω·cm, 주표면의 면방위 (100)인 p-형 실리콘 웨이퍼에 n형 불순물인 인을 이온주입한 것을 별도로 준비하였다. 이 이온주입은 이온가속에너지 500KeV, 도즈량 3.0×1014/cm2로 행하였다. 이온주입한 시험용 웨이퍼에, 온도특성을 기지(旣知)의 열확산로 내에서 30분간 열처리를 실시한 후, 시트저항을 측정하고, 시트저항으로부터 처리온도로 환산할 수 있도록 검정선을 미리 제조하였다.
그 다음, 실시예 1, 비교예 1-3의 서셉터를 사용하여, 상기 이온주입한 온도평가용 시험용 웨이퍼와 동일하게 처리한 평가용 웨이퍼를 소정 온도로 30분간 열처리한 후, 4 단침(短針) 측정기로 시트저항을 측정하고, 미리 얻은 검정선을 사용하여 온도로 환산하였다. 시트저항의 측정 위치는 웨이퍼의 외주단으로부터 5mm와 외주단으로부터 10mm의 위치로 하고, 그 차를 온도 저하량으로서 산출하였다.
또한, 상기의 실시예 1, 비교예 1-3의 서셉터 및 이를 구비하는 기상성장 장치를 사용하여, 직경 200mm, P형, 결정방위 <100>, 이면 CVD산화막 두께 500μm인 웨이퍼에, 노듈처리를 실시한 후, 비도프(non-dope)의 에피택셜층을 두께 70μm 성장시킨, 에피택셜 웨이퍼를 각각 제조하였다.
실시예 1, 비교예 1-3의 서셉터를 사용하여 제조한 에피택셜 웨이퍼의 품질을, (2) 이면 외주부 실리콘 퇴적량 측정, (3) 웨이퍼 재치시의 휨에 의한 흠집 불량, (4) 웨이퍼 재치시의 슬라이드의 4패턴의 평가방법에 의해 평가하였다.
(2) 이면 외주부 실리콘 퇴적량 측정
이면 CVD산화막 상에는 실리콘이 퇴적되지 않고, 노듈처리부로부터 실리콘이 퇴적되므로, CVD산화막으로부터 노듈처리부의 높이 프로파일을 측정하였다.
(3) 웨이퍼 재치시의 휨에 의한 흠집 불량
에피택셜 성장후, 웨이퍼를 할로겐 램프 하에서 외관을 육안 검사하여, 흠집의 유무를 검사하였다.
(4) 웨이퍼 재치시의 슬라이드
상온 상태에서 웨이퍼를 서셉터 상에 재치했을 때에 웨이퍼가 우묵한 부분 내에서 슬라이딩 되는지 어떤지를 육안 검사로 평가하였다.
상기의 실시예 1, 비교예 1-3의 서셉터를 사용하여 행한 외주온도 저하 개산 결과와, 실시예 1, 비교예 1-3의 서셉터를 사용하여 제조된 에피택셜 웨이퍼에서의, 품질평가 결과를 표 1에 나타내었다.
홈 깊이 웨이퍼 외주온도 저하 이면 외주부 실리콘 퇴적량 웨이퍼 재치시 휨에 의한 흠집 불량 웨이퍼 재치시 슬라이드 그 밖의 품질
비교예 1 전면일률
0.1mm
-1.6℃ 7.0㎛
비교예 2 전면일률
0.02mm
-0.5℃ 3.5㎛
비교예 3 중앙부: 0.1mm
외주부:홈없음
-0.2℃ 3.4㎛ 경계부에서 막 두께 단차 있음
실시예 1 중앙부: 0.1mm
외주부:0.02~0.1mm(경사상)
-0.4℃ 3.5㎛
표 1로부터, 실시예 1, 비교예 2, 3의 서셉터를 사용하여 제조된 에피택셜 웨이퍼는 비교예 1과 비교할 때 웨이퍼 외주온도의 저하가 작고, 이면 외주부로의 실리콘 퇴적량이 적은 결과가 나왔다. 한편, 우묵한 부분의 바닥면 전면에 일률로 0.1mm의 홈이 형성된 비교예 1의 서셉터에서는, 웨이퍼 외주온도가 현저하게 저하되었고, 이면 외주부로의 실리콘 퇴적량 또한 많았다. 특히, 외주부에 홈이 없는 비교예 3의 서셉터를 사용한 경우에, 외주온도 저하가 가장 작고, 실리콘 퇴적량도 적었다는 점에서, 웨이퍼 외주온도 저하는, 우묵한 부분의 바닥면의 외주부의 메시패턴의 홈 깊이가 얕을수록 저하가 작아지고, 이면 외주부 실리콘 퇴적은, 외주부의 홈 깊이가 얕을수록 퇴적량이 적어진다는 것을 알았다. 또한, 우묵한 부분의 바닥면의 중앙부의 홈 깊이는, 웨이퍼 외주온도 저하, 이면 외주부 실리콘 퇴적과는 관계가 없음이 명백해졌다.
한편, 웨이퍼 재치시의 휨에 의한 흠집 불량은, 중앙부의 메시패턴의 홈이 얕은 비교예 2에서만 발생하였다는 점에서, 중앙부의 홈 깊이가 깊은 쪽이 발생하기 어려워, 외주부의 홈 깊이는 영향을 받지 않는 다는 것을 알 수 있었다. 그러나, 비교예 3과 같이, 외주부의 홈을 완전히 없앤 경우, 웨이퍼의 슬라이드가 발생하는 것을 알았다. 이러한 점에서, 실시예 1과 같이, 외주부의 홈은 얕아도 형성해 놓으면 슬라이드가 발생하지 않는다는 것이 명백해졌다.
또한, 비교예 3과 같이, 급격하게 홈 깊이를 변화시키면, 에피택셜층의 막 두께 형상이 그 부위에서 변하여, 나노토폴로지와 같은 평탄성 품질에 영향을 끼칠 우려가 있다는 것을 알게 되었다. 그러므로, 홈 깊이를 변화시키는 경우에는, 급격하게 변화시키는 것이 아니라, 실시예 1과 같이 서서히 변화시키는 것이 바람직하다는 것이 명백해졌다.
이상의 결과로부터, 실시예 1과 같이, 웨이퍼 재치면인 우묵한 부분의 바닥면의 외주부의 홈 깊이가 중앙부보다 얕게 형성된 기상성장용 서셉터라면, 웨이퍼 외주부 온도 저하에 따른 막 두께 저하, 웨이퍼 재치시의 휨, 웨이퍼 이면 외주부로의 실리콘의 퇴적을 개선할 수 있다. 또한, 실시예 1과 같이, 우묵한 부분의 바닥면의 중앙부로부터 외주부에 걸쳐 홈 깊이를 연속적으로 얕아지도록 변화시킴으로써, 나노토폴로지와 같은 평탄성 품질에 영향을 끼칠 우려가 없어, 고품질의 에피택셜 웨이퍼를 제조할 수 있다는 것이 명백해졌다.
또한, 본 발명은, 상기 실시의 형태에 한정되는 것은 아니다. 상기 실시의 형태는 예시일 뿐, 본 발명의 특허청구의 범위에 기재된 기술적 사상과 실질적으로 동일한 구성을 가지며, 동일한 효과를 나타내는 것은 어떠한 것이라도 본 발명의 기술적 범위에 포함된다.

Claims (6)

  1. 웨이퍼 표면에 박막을 기상성장시키기 위한 기상성장 장치에 있어서 웨이퍼를 지지하기 위한 서셉터로서, 그 서셉터에는 웨이퍼를 수용할 수 있는 우묵한 부분이 형성되고, 그 우묵한 부분의 바닥면에는 메시패턴의 홈에 의해 다수의 방형 볼록부가 형성되어 있으며, 상기 우묵한 부분의 바닥면의 외주부에서의 홈 깊이가 중앙부보다 얕은 것을 특징으로 하는 기상성장용 서셉터.
  2. 제1항에 있어서,
    상기 중앙부로부터 상기 외주부에 걸쳐 생기는 홈 깊이의 변화는, 연속적으로 얕아지는 것을 특징으로 하는 기상성장용 서셉터.
  3. 제1항 또는 제2항에 있어서,
    상기 우묵한 부분의 바닥면의 외주부에서의 가장 얕은 홈 깊이가 0.01~0.08mm의 범위이며, 상기 외주부보다 내측의 중앙부에서의 가장 깊은 홈 깊이가 0.1~0.5mm의 범위인 것을 특징으로 하는 기상성장용 서셉터.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 외주부와 상기 중앙부의 경계는 동심원상이고, 상기 외주부의 영역은 상기 우묵한 부분의 바닥면의 외주단으로부터 10mm~50mm의 범위인 것을 특징으로 하는 기상성장용 서셉터.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 서셉터는 흑연제 기재를 탄화규소로 피복시킨 것으로 이루어진 것임을 특징으로 하는 기상성장용 서셉터.
  6. 적어도, 제1항 내지 제5항 중 어느 한 항에 기재된 기상성장용 서셉터를 구비한 것을 특징으로 하는 기상성장 장치.
KR1020107012307A 2007-12-06 2008-11-26 기상성장용 서셉터 및 기상성장 장치 KR20100102106A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-316046 2007-12-06
JP2007316046 2007-12-06

Publications (1)

Publication Number Publication Date
KR20100102106A true KR20100102106A (ko) 2010-09-20

Family

ID=40717435

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107012307A KR20100102106A (ko) 2007-12-06 2008-11-26 기상성장용 서셉터 및 기상성장 장치

Country Status (6)

Country Link
US (1) US20100282170A1 (ko)
JP (1) JP5158093B2 (ko)
KR (1) KR20100102106A (ko)
DE (1) DE112008003277T5 (ko)
TW (1) TW200941557A (ko)
WO (1) WO2009072252A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11398504B2 (en) * 2018-12-04 2022-07-26 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Display panel and method for manufacturing same

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG121819A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7433016B2 (en) 2005-05-03 2008-10-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5654901B2 (ja) * 2011-02-28 2015-01-14 東京応化工業株式会社 支持方法、これを用いた高温処理方法、及び支持治具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
NL2009189A (en) 2011-08-17 2013-02-19 Asml Netherlands Bv Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method.
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015195259A (ja) * 2014-03-31 2015-11-05 豊田合成株式会社 サセプターおよび気相成長装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6394400B2 (ja) * 2015-01-13 2018-09-26 株式会社デンソー 表面処理装置およびウエハの表面処理方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10186448B2 (en) * 2015-12-11 2019-01-22 Lam Research Corporation Wafer support pedestal with wafer anti-slip and anti-rotation features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20190122230A (ko) * 2017-02-28 2019-10-29 에스지엘 카본 에스이 기판-캐리어 구조
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220076988A1 (en) * 2020-09-10 2022-03-10 Applied Materials, Inc. Back side design for flat silicon carbide susceptor
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758035A (ja) * 1993-08-11 1995-03-03 Sumitomo Sitix Corp 半導体基板用熱処理治具
JPH0758041A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JPH0758039A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JPH088198A (ja) * 1994-06-21 1996-01-12 Sumitomo Sitix Corp 気相成長装置用サセプター
JP3911518B2 (ja) * 1995-03-31 2007-05-09 株式会社Sumco 気相成長装置用サセプターと気相成長方法
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP3424069B2 (ja) * 1999-04-28 2003-07-07 東芝セラミックス株式会社 エピタキシャルシリコン基板の製造方法
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP2004200436A (ja) * 2002-12-19 2004-07-15 Toshiba Ceramics Co Ltd サセプタ及びその製造方法
JP2006351865A (ja) * 2005-06-16 2006-12-28 Shin Etsu Handotai Co Ltd 気相成長用サセプタ及び気相成長装置及び気相成長方法並びにエピタキシャルウエーハ

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11398504B2 (en) * 2018-12-04 2022-07-26 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Display panel and method for manufacturing same

Also Published As

Publication number Publication date
US20100282170A1 (en) 2010-11-11
WO2009072252A1 (ja) 2009-06-11
TW200941557A (en) 2009-10-01
JP5158093B2 (ja) 2013-03-06
DE112008003277T5 (de) 2011-01-05
JPWO2009072252A1 (ja) 2011-04-21

Similar Documents

Publication Publication Date Title
KR20100102106A (ko) 기상성장용 서셉터 및 기상성장 장치
US9768047B2 (en) SiC epitaxial wafer and method for producing same, and device for producing SiC epitaxial wafer
US10176987B2 (en) SiC epitaxial wafer and method for manufacturing the same
US8021968B2 (en) Susceptor and method for manufacturing silicon epitaxial wafer
CN107851560B (zh) 基座、外延生长装置、及外延晶圆
US8679952B2 (en) Method of manufacturing silicon carbide epitaxial wafer
KR101808054B1 (ko) 서셉터 및 에피택셜 웨이퍼의 제조 방법
KR101516164B1 (ko) 에피텍셜 성장용 서셉터
JP2017109900A (ja) エピタキシャル成長装置、エピタキシャル成長方法及び半導体素子の製造方法
KR101230176B1 (ko) 실리콘으로 구성되고 에피텍셜 증착된 층을 갖는 반도체 웨이퍼의 제조 방법
KR101526895B1 (ko) 에피텍셜 성장방법
JP5161748B2 (ja) 気相成長用サセプタ及び気相成長装置並びにエピタキシャルウェーハの製造方法
JP2020033208A (ja) トレイ、半導体基板の製造方法、半導体装置の製造方法および半導体製造装置
JP6069545B2 (ja) SiCエピタキシャルウェハの評価方法
KR102093838B1 (ko) 에피택셜 반응기
KR20110087440A (ko) 반도체 제조용 서셉터 및 이를 포함하는 반도체 제조 장치
KR101259006B1 (ko) 웨이퍼 제조장치의 서셉터
KR102479505B1 (ko) 에피택셜층 증착 장비의 클리닝 방법
JP2019096639A (ja) サセプタ、エピタキシャルウェーハの製造方法
JP2008105914A (ja) エピタキシャルシリコンウエーハの製造方法及びエピタキシャルシリコンウエーハ
CN115668470A (zh) 晶圆外周变形的评价方法
JP2013115342A (ja) シリコンエピタキシャルウェーハの製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid