KR20100015974A - 웨이퍼 재생을 위한 물질의 스트리핑 방법 - Google Patents

웨이퍼 재생을 위한 물질의 스트리핑 방법 Download PDF

Info

Publication number
KR20100015974A
KR20100015974A KR1020097022496A KR20097022496A KR20100015974A KR 20100015974 A KR20100015974 A KR 20100015974A KR 1020097022496 A KR1020097022496 A KR 1020097022496A KR 20097022496 A KR20097022496 A KR 20097022496A KR 20100015974 A KR20100015974 A KR 20100015974A
Authority
KR
South Korea
Prior art keywords
materials
acid
removal composition
microelectronic device
composition
Prior art date
Application number
KR1020097022496A
Other languages
English (en)
Inventor
핑 지앙
마이클 비 코젠스키
데이비드 더블유 민젝
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20100015974A publication Critical patent/KR20100015974A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/004Surface-active compounds containing F
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/29Sulfates of polyoxyalkylene ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3092Recovery of material; Waste processing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/20

Abstract

본 발명은 1 이상의 물질층을 이를 상부에 갖는 반려된 마이크로전자 소자 구조물로부터 제거하기 위한 제거 조성물 및 방법에 관한 것이다. 상기 제거 조성물은 불화수소산을 포함한다. 상기 조성물은 상기 구조물의 재생, 재작업, 재순환 및/또는 재사용을 위해 유지하려는 층에 손상을 주지 않으면서 제거하려는 물질(들)을 실질적으로 제거한다.

Description

웨이퍼 재생을 위한 물질의 스트리핑 방법{METHODS FOR STRIPPING MATERIAL FOR WAFER RECLAMATION}
본 발명은 기재 또는 물품의 재생, 재작업, 재순환 및/또는 재사용을 위해 물질층, 예를 들어 저-k 유전체를 이 물질을 상부에 갖는 기재 또는 물품으로부터 제거하는 데 유용한 방법, 및 이를 이용하여 제조한 생성물에 관한 것이다.
고밀도의 극초대규모 집적(ULSI) 반도체 회로와 관련된 성능에 대한 상향되는 요건은 소자 크기가 감소함에 따라 신호 전단 속도를 증가시키기 위해 저유전상수(저-k) 절연층을 이용할 것을 점차 요구하여 왔다.
전형적인 저-k 물질로는 시판되는 전구체, 예컨대 SiLKTM, AURORATM, CORALTM, 또는 BLACK DIAMONDTM을 사용하고, 예를 들어 독점적인 BLACK DIAMONDTM 공정을 이용하여 침착시키는 탄소 도핑된 산화물(CDO)을 들 수 있다. 이러한 CDO는 전형적으로 유기실란 및 유기실록산 전구체로부터 화학적 증착(CVD) 공정을 이용하여 형성한다. CVD 탄소 도핑된 산화물 저-k 유전체는 전형적으로 전체 유전상수가 약 3.2 미만인 다공질의 저밀도 물질로 구성되며, 전형적으로 다른 반도체 구조, 예컨대 금속 상호연결 라인 및 비아(via)가 형성되는 다층의 CDO를 형성함으로써 다양한 반 도체 구조물에 사용된다. 예를 들어, CDO는 유전체 절연층(금속간 유전체 (IMD) 층), 캡핑층으로서 및/또는 특정 구조체를 위한 갭(gap) 충전 물질로서 사용될 수 있다.
흔히, 마이크로전자 소자 웨이퍼, 예를 들어 규소 반도체 웨이퍼는 다층 소자 제조 공정 또는 자격부여 공정 동안에 허용되지 않는 공정 후에는 스크랩 처리되어야 한다. 임의의 수의 공정 문제, 예를 들어 층의 불균일한 침착 또는 이후 에칭 오류가 발생할 수 있다. 선택된 공정 단계 후에 다수의 품질 관리 시험 방법이 실시되어 상당한 비생산 비용을 유발하는 다양한 이유로 반도체 웨이퍼 허용성이 반려되고 '스크랩' 처리될 수 있다. 반려된 웨이퍼 이외에, 특정 필름 유형의 재생 또는 재순환 불가능의 이유로 시험 웨이퍼가 흔히 스크랩 처리된다. 시험 웨이퍼 소비는 제조에서의 상위 3가지 재료 비용 중에 해당한다.
종래 기술 현실은 반려되거나 스크랩 처리된 공정 웨이퍼를 가공을 위한 웨이퍼 공급기에 이송하고, 이로써 물질층, 예를 들어 유전체층, 예컨대 CDO 층을 상기 웨이퍼의 재사용을 위해 화학적 및 기계적 방법을 이용하여 상기 반도체 웨이퍼로부터 제거한다. 상기 웨이퍼 상에 위치하는 유전체층 또는 기타 특징부의 성공적인 제거 후, 상기 웨이퍼는 새로운 다층 반도체 소자 제조 공정에서 재순환 또는 재사용된다. 반도체 웨이퍼 제조가 더욱 큰 직경의 웨이퍼, 예를 들어 12 in 웨이퍼로 이동함에 따라, 현장 외(off-site) 공정 웨이퍼를 스트랩 처리하고 재순환시키는 것은 높은 비생산 비용으로 상당히 더욱 바람직하지 않게 된다.
본 원에서는 1 이상의 물질, 예컨대 금속 적층 물질, 에칭 정지층, 포토레지 스트, 배리어층 및/또는 유전체층, 예컨대, 고-k 및 저-k 층이 상기 구조체의 재생, 재작업, 재순환 및/또는 재사용을 위해 마이크로전자 소자 구조체로부터 제거될 수 있고, 상기 조성물 및 공정을 기존 제조 공정 및 성분과 상용할 수 있는 개선된 조성물 및 공정이 개시된다. 중요하게는, 상부 소자 기재, 예를 들어 규소는 상기 제거 조성물로부터 손상받지 않는 것이 바람직하다. 바람직하게는, 상기 마이크로전자 소자로부터 물질, 예를 들어 저-k 유전체층을 제거하는 상기 조성물을 이용하는 공정은 높은 에너지 소모 산화 단계를 필요로 하지 않는다.
하부 기재 물질로의 손상을 최소화하는 동시에 상기 물질층을 제거하는 것 이외에, 본 발명의 조성물은 지역 환경 요건을 만족하도록 제조할 수 있다. 예를 들어, 높은 불화물 농도 및 높은 유기 용매 농도는 폐수 처리 문제로 인해 많은 부피의 제조에서 조성물을 사용하게 하는 데 어렵게 할 수 있다. 상기 제조의 화학적 산소 요구량(COD)(여기서, 용액의 COD는 산성 조건 하에서 강산화제의 존재 하에 이산화탄소로 완전히 산화될 수 있는 유기 화합물의 측정량임)의 수준에 따라서, 상기 제조는 환경으로의 직접 회송을 위한 시설 폐수에서 허용되지 않을 수 있다. 예를 들어, 스위스에서는, 폐수 샘플의 COD는 폐수 또는 공업용수가 환경에 회송될 수 있기 전에 200∼1000 mg/L로 감소되어야 한다(Pupunat, L., Sollberger, F., Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).
상기 폐수가 불화물 공급원만을 함유하는 경우(유기 용매 없이), 불화물 처리 시스템을 적용하여 폐수로부터 불화물을 우선 제거한 후, 상기 물을 환경에 배 출시킬 수 있다. 폐수가 유기 용매(들)만을 하유 하는 경우(불화물 공급원 없이), 유기 처리 시스템, 예컨대 소각로를 적용할 수 있다. 불리하게도, 불화물 공급원이 소각로 구조 물질에 손상을 줄 수 있기 때문에 고농도의 불화물을 함유하는 폐수 샘플은 허용되지 않을 수 있다.
따라서, 마이크로전자 소자 구조체로부터 그 구조체의 재생, 재작업, 재순환 및/또는 재사용을 위한 1 이상의 물질의 제거를 위한 향상된 조성물 및 방법이 제공되는 것 이외에, 상기 조성물 및/또는 상기 조성물의 사용 방법은 상기 조성물의 폐기와 관련한 지역 규제 기준을 바람직하게 따르게 된다.
발명의 개요
본 원에서는 1 이상의 물질, 예컨대 유전체 및/또는 기타 물질층을 그 물질을 상부에 갖는 마이크로전자 소자 구조물로부터 상기 마이크로전자 구조물의 재생, 재작업, 재순환 및/또는 재사용을 위해 제거하는 데 유용한 조성물 및 방법, 및 제거 조성물 사용 방법, 및 이를 이용하여 제조한 생성물 또는 중간생성물이 개시된다.
한 양태에서, 제거 조성물이 개시되며, 상기 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 산화제, 임의로 1 이상의 염화물 공급원 및 임의로 물을 포함하고, 여기서 상기 제거 조성물은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방 지 코팅(SARC), 중합체 함유 빌드업, 보조 물질(miscellaneous material), 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 그 물질을 상부에 갖는 마이크로전자 소자 구조물으로부터 제거하는 데 적합하다.
또다른 양태에서, 마이크로전자 소자 구조물의 재순환 방법이 개시되며, 상기 방법은
마이크로전자 소자 기재 및 1 이상의 제거가능한 물질을 포함하는 마이크로전자 소자 구조물을 상기 마이크로전자 소자 구조물로부터 1 이상의 제거가능한 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 제거 조성물과 접촉시켜 재생된 마이크로전자 소자 기재를 산출하는 단계
를 포함한다. 상기 1 이상의 제거가능한 물질로는 비한정적으로 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질 및 이의 조합을 들 수 있다.
또다른 양태에서, 제거 조성물이 개시되며, 상기 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제 및 임의로 물을 포함하고, 여기서 상기 제거 조성물은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 그 물질을 상부에 갖는 마이크로전자 소자로부터 제거하는 데 적 합하다. 바람직하게는, 1 이상의 에칭제는 HF를 포함한다.
또다른 양태에서, 마이크로전자 소자 구조물의 재순환 방법이 개시되며, 상기 방법은
마이크로전자 소자 기재, 및 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 제거가능한 물질을 포함하는 마이크로전자 소자 구조물을 상기 마이크로전자 소자 구조물로부터 1 이상의 제거가능한 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 제거 조성물과 접촉시켜 상기 마이크로전자 소자 기재 및 유지하려는 1 이상의 층을 포함하는 재생되거나 재작업된 마이크로전자 소자 구조물을 산출하는 단계(여기서, 상기 유지되는 층은 도핑된 에피택셜 Si, 비도핑된 에피택셜 Si, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택됨)
를 포함한다.
또다른 양태는 마이크로전자 소자 구조물의 이면 및/또는 베벨 엣지(bevel edge)로부터 중합체 합유 빌드업을 제거하는 상기 마이크로전자 소자 구조물의 재 작업 방법에 관한 것이며, 상기 방법은
상기 구조물의 전면이 제거가능한 조성물과 접촉하는 것을 방지하는 단계;
상기 구조물의 이면 및/또는 베벨 엣지를 상기 구조물의 이면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 상기 제거 조성물과 접촉시키는 단계
를 포함한다.
또다른 양태는 마이크로전자 소자 기재 및 그 상부의 1 이상의 물질을 포함하는 마이크로전자 소자에 관한 것이며, 상기 1 이상의 물질은 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되며, 여기서, 상기 마이크로전자 소자 기재는 제거 조성물을 이용한 단일 단계로 재생되거나 재작업된다.
또다른 양태는 조성물 중 1 이상의 성분의 농도를 모니터링하는 방법에 관한 것이며, 상기 방법은
시간 t = x에서 상기 조성물을 샘플링하는 단계;
시간 t = x에서 상기 1 이상의 성분의 농도를 측정하는 단계;
시간 t = 0에서의 상기 성분의 농도에 대한 시간 t = x에서의 상기 1 이상의 성분의 농도를 비교하는 단계; 및
분취량의 상기 성분을 상기 조성물에 첨가하여 상기 성분의 농도를 증가시키 는 단계
를 포함한다.
또다른 양태에서, 마이크로전자 소자 기재의 화학적 평탄화 방법이 기재되어 있으며, 상기 방법은 상기 기재를 상기 기재 상의 결함을 실질적으로 제거하는 데 충분한 시간 및 조건 하에 증기상 XeF2에 노출시키는 단계를 포함한다.
또다른 양태는 마이크로전자 소자 기재의 재순환 방법에 관한 것이며, 상기 방법은
마이크로전자 소자 기재, 및 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택된 2 이상의 제거가능한 물질을 포함하는 마이크로전자 소자 구조물을 상기 마이크로전자 소자 구조물로부터 적어도 제1 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 제1 제거 조성물과 접촉시키는 단계, 및
상기 구조물을 상기 마이크로전자 소자 구조물로부터 1 이상의 제2 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 1 이상의 에칭제, 1 이상의 계면활성제, 1 이상의 유기 용매 및 물을 포함하는 제2 제거 조성물과 접촉시켜 재 순환가능하거나 재사용가능한 마이크로전자 소자 기재를 산출하는 단계
를 포함한다.
본 발명의 다른 양태, 특징 및 실시양태가 이후 개시 및 첨부되는 청구의 범위로부터 더욱 충분히 명백하게 된다.
도면의 간단한 설명
도 1A는 40℃에서의 10 분 동안의 제제 RR 중 침지 후 30 kx에서의 웨이퍼의 전자현미경 사진이다.
도 1B는 40℃에서의 10 분 동안의 제제 P1 중 침지 후 30 kx에서의 웨이퍼의 전자현미경 사진이다.
도 2A는 40℃에서의 10 분 동안의 제제 RR 중 침지 후 100 kx에서의 웨이퍼의 전자현미경 사진이다.
도 2B는 40℃에서의 10 분 동안의 제제 P1 중 침지 후 100 kx에서의 웨이퍼의 전자현미경 사진이다.
본 발명은 일반적으로 마이크로전자 소자 구조물의 재생, 재작업, 재순환 및/또는 재사용을 위해 1 이상의 물질층(예를 들어, 유전체 물질 (고-k 및/또는 저-k), 금속 적층 물질, 에칭 정지층, 배리어층 물질, 규화물, 강유전체, 포토레지스트, 반사방지 코팅, 에칭 후 잔류물 등)을 상기 물질을 상부에 갖는 상기 마이크로전자 소자 구조물로부터 제거하는 데 유용한 제거 조성물 및 방법에 관한 것이다. 상기 재생, 재작업 재순환 및/또는 재사용은 현장 외 또는 현장 내(in-house)에서 이루어질 수 있다.
'마이크로전자 소자'는 마이크로전자공학, 집적 회로 또는 컴퓨터 칩 용도로 사용하기 위해 제조한 반도체 기판, 태양 전지(광전지), 평판 디스플레이 및 마이크로전자기계 시스템(MEMS)에 해당한다. 용어 '마이크로전자 소자', '마이크로전자 기재' 및 '마이크로전자 소자 구조물'은 어떠한 방식으로든 한정하는 것으로 의미되지 않으며, 결과적으로 마이크로전자 소자 및 마이크로전자 어셈블리가 되게 되는 임의의 기재 또는 구조물을 포함한다. 마이크로전자 소자는 패턴 처리되고, 블랭킷 처리되고, 관리 및/또는 시험 소자일 수 있다. '반려된 마이크로전자 소자' 구조물은 본 발명의 방법에 따라 재생, 재작업 및/또는 세정될 수 있는 모든 마이크로전자 소자를 걸러내기 위해 의도되었다.
'마이크로전자 소자 구조물'은 상부에 1 이상의 물질을 갖는 '마이크로전자 소자 기재'를 포함하며, 여기서 상기 1 이상의 물질은 마이크로전자 소자 기재와는 조성적으로 또는 결정학적으로 상이하다. 본 원에서 정의되는 바와 같이, '마이크로전자 소자 기재'는 비한정적으로 베어 규소(bare silicon); 폴리실리콘; 게르마늄; Ⅲ/V 화합물, 예컨대 질화알루미늄, 질화갈륨, 비화갈륨, 인화인듐; 티타나이트; Ⅱ/Ⅳ 화합물; Ⅱ/Ⅵ 화합물, 예컨대 CdSe, CdS, ZnS, ZnSe 및 CdTe; 탄화규소; 사파이어; 사파이어 상의 규소; 탄소; 도핑된 유리; 비도핑된 유리; 다이아몬드; GeAsSe 유리; 다결정질 규소(도핑되거나 비도핑됨); 단결정질 규소(도핑되거나 비도핑됨); 비결정질 규소, 구리 인듐 (갈륨) 디셀레나이드; 및 이의 조합을 포함하는 임의의 기재에 해당한다. '물질' 또는 '물질층(들)'은 비한정적으로 도핑된 에피택셜 규소, 비도핑된 에피택셜 규소, 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 포함할 수 있다. 물질층들 중 1 이상은 1 이상의 이온 주입된 이온, 예컨대 붕소, 인 및 비소에 의해 도핑될 수 있다. 본 원에서 정의된 바와 같이, '보조 물질'로는 몰리브덴 함유 물질, 란탄 함유 물질, 로듐 함유 물질, 망간 함유 물질, 예컨대 MnOx, 탄소 나노튜브, SrTiO3, ZrO2, YVO4, LiNbO3, TeO3 및 이의 조합을 들 수 있다.
본 원에서 사용되는 바와 같이, '약'은 언급된 수치의 ± 5%에 해당하는 것으로 의도된다.
본 원에서 사용되는 바와 같이, 용어 '반수성'이란 물과 유기 성분의 혼합물을 의미한다. 반수성 제거 조성물은 상기 조성물을 사용하여 제거하려는 물질(들)에 인접하여 위치하는 유지하려는 층(들)에 실질적으로 손상을 주지 않아야 한다. 소정의 결과에 따라, '유지되는 층'은 마이크로전자 소자 기재(원래 상부에 침착되는 경우 도핑되거나 비도핑된 에피택셜 규소층을 가짐)로만 구성될 수 있다. 대안적으로, 소정의 결과, 예를 들어 재생 또는 재작업에 따라, '유지된 층'은 마이크로전자 소자 기재뿐만 아니라, 도핑된 에피택셜 규소, 비도핑된 에피택셜 규소, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 도핑된 영역, 강유전체, 규화물, 질화물, 산화물, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 포함할 수 있다. '제거되는 물질(들)에 인접하여 위치하는 유지하려는 층(들)에 실질적으로 손상을 주지 않는다'란 본 발명의 조성물을 이용하여, 유지되는 층의 100 Å 미만, 더욱 바람직하게는 50 Å 미만, 더욱더 바람직하게는 20 Å 미만, 더욱더 바람직하게는 10 Å 미만, 가장 바람직하게는 1 Å 미만이 제거된다는 것을 의미한다. 당업자라면, '층'이 블랭킷 처리된 층 또는 패턴 처리된 층일 수 있다는 것을 이해해야 한다. 특히, '제거가능한 물질/층'은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역(도핑된 에피택셜층을 포함하지 않음) 및 이의 조합으로 구성된 군으로부터 선택된다.
본 원에서 정의되는 바와 같이, '저-k 유전체층'은 층상 마이크로전자 소자에서 유전체 물질로서 사용되는 임의의 물질에 해당하고, 여기서 상기 물질은 유전 상수가 약 4.0 미만이다. 바람직하게는, 저-k 유전체 물질로는 저극성 물질, 예컨대 산화규소, 규소 함유 유기 중합체, 규소 함유 혼성 유기/무기 물질, 유기실리케이트 유리 (OSG), TEOS, 불화 실리케이트 유리(FSG), SiCOH 및 탄소 도핑된 산화물(CDO) 유리를 들 수 있다. 본 발명의 목적을 위해, 저-k 유전체 물질은 규소 질화물 물질을 더 포함한다. 저-k 유전체 물질은 다양한 밀도 및 다양한 공극율을 보유할 수 있는 것으로 이해되어야 한다.
본 원에서 정의되는 바와 같이, '금속 적층 물질' 및 '금속'은 마이크로전자 소자 상의 탄탈, 질화탄탈, 질화티탄, 티탄, 니켈, 코발트, 텅스텐 및 이의 규화물; 구리 함유층; 알루미늄 함유층; Al/Cu 층; Al의 합금; Cu의 합금; 코발트 함유층, 예컨대 CoWP 및 CoWBP; 금 함유층; Au/Pt 층; 하프늄 산화물; 하프늄 옥시실리케이트; 지르코늄 산화물; 란탄족 원소 산화물; 티탄산염; 이의 질소 도핑된 동족체; 루테늄; 이리듐; 카드뮴; 납; 셀레늄; 은; MoTa; 및 이의 조합 및 염에 해당한다.
본 원에서 정의되는 바와 같이, '고-k 유전체' 물질은 하프늄 산화물(예를 들어, HfO2); 지르코늄 산화물(예를 들어, ZrO2); 하프늄 옥시실리케이트; 하프늄 규산염; 지르코늄 규산염; 티탄 규산염; 알루미늄 산화물; 이의 란탄 도핑된 동족체(예를 들어, LaAlO3); 알루미늄 규산염; 티탄산염(예를 들어, Ta2O5); 하프늄 및 규소의 산화물 및 질화물(예를 들어, HfSiON); 이의 란탄 도핑된 동족체(예를 들어, HFSiON (La)); 바륨 스트론튬 티탄산염 (BST); 하프늄 및 알루미늄의 산화물(예를 들어, HfxAlyOz); 스트론튬 티탄산염(SrTiO3); 티탄산바륨(BaTiO3); 및 이의 조합에 해당한다.
본 원에서 정의되는 바와 같이, '배리어층 물질'은 금속 라인, 예를 들어 구리 배선을 실링하여 상기 금속, 예를 들어 구리가 유전체 물질로 확산하는 것을 최소화시키는 데 당업계에서 사용되는 임의의 물질에 해당한다. 바람직한 배리어층 물질로는 규소 풍부한 질화물, 규소 풍부한 옥시니트라이드, 탄탈, 티탄, 루테늄, 하프늄, 텅스텐 및 기타 난용성 금속 및 이의 질화물 및 규화물을 들 수 있다.
본 원에서 정의되는 바와 같이, '강유전체'로는 비한정적으로 티탄산바륨(BaTiO3); 티탄산납(PbTiO3); 납 지르코네이트 티탄산염 (PZT); 납 란탄 지르코네이트 티탄산염(PLZT); 납 마그네슘 니오베이트 (PMN); 칼륨 니오베이트 (KNbO3); 칼륨 나트륨 니오베이트(KxNa1-xNbO3); 칼륨 탄탈레이트 니오베이트(K(TaxNb1-x)O3); 니오브산납(PbNb2O6); 티탄산비스무트(Bi4Ti3O12); 납 비스무트 니오베이트 (PbBi2Nb2O9); 니오브산리튬(LiNbO3); 탄탈산리튬(LiTaO3); 스트론튬 비스무트 탄탈레이트; 스트론튬 비스무트 탄탈레이트 니오베이트; 스트론튬 탄탈라이트; 티탄산스트론튬; 및 이의 조합 및 염을 들 수 있다.
본 원에서 정의되는 바와 같이, '에칭 정지층'으로는 탄화규소(SiC), 규소 탄소 질화물(SiCN), 규소 탄소 산화물(SiCO), 규소 옥시니트라이드(SiON), 구리, 규소 게르마늄(SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs 및 이의 조합 및 염을 들 수 있다.
본 원에서 정의되는 바와 같이, '산화물'은 기타 층에서 정의되는 산화물 화합물뿐만 아니라, 압전체(piezoelectric), 예컨대 (Pb,Sr)(Zr,Ti)O3, 초전성체(pyroelectric), 예컨대 (Pb,Ca)(Zr,Ti)O3, 초전도체, 예컨대 YBCO, 전극, 예컨대 인듐 주석 산화물, 열적 배리어 물질, 예컨대 ZrO2, CeO2, Y2O3, MgO, Al2O3 및 SiO2, 광학 코팅, 예컨대 TiO2, Ta2O5, Y2O3 및 Sc2O3, 및 전도성 막, 예컨대 La(1-x)SrxGa(1-y)MyO3 (여기서, M = Fe, Co, Ni), La(1-x)SrxMnO3 및 La(1-x)CaxMnO3 중 임의의 것을 포함할 수 있다.
본 원에서 정의되는 바와 같이, '중합체 함유 빌드업'은 제조 중 마이크로전자 소자 기재의 이면 및 베벨 엣지 상에서 빌드업하는 물질에 해당하고, 이는 마이크로전자 소자 상에 침착되고 침착되는 그 지점에 비한정적으로 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 보조 물질, 도판트 및 이의 조합을 포함하는 물질 중 임의의 것을 포함한다.
본 원에서 사용되는 바와 같이, 마이크로전자 소자 구조물의 '재생'은 유지하여는 층(들)에 실질적으로 손상을 줌 없이 유지하려는 층(들)에 인접하는 1 이상의 물질을 실질적으로 제거하는 것에 해당하며, 상기 제거하려는 물질(들)은 비한정적으로 에칭 후 잔류물, 에칭 정지층, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 산화물, 유전체(저-k 및/또는 고-k), 중합체 함유 빌드업, 도핑된 영역(도핑된 에피택셜층을 포함하지 않음) 및 이의 조합을 포함한다. 유지하려는 층(들)은 마이크로전자 소자 기재, 도핑된 에피택셜 규소, 비도핑된 에피택셜 규소, 에칭 정지층, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 유전체(저-k 및/또는 고-k), 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택된다. 재생은 현장 외 또는 현장 내에서 실시될 수 있다. 제거하려는 물질 및 유지하려는 물질은 동일 물질일 수 없다는 것이 이해되어야 한다. 예를 들어, 제거하려는 물질은 저-k 유전체 물질을 포함할 수 있고, 유지하려는 층은 마이크로전자 소자 기재일 수 있다. 이러한 개시를 이용하여 당업자는 어떠한 조성물 및 공정을 적용하여 특정 층을 유지하면서 특정 물질을 제거할 수 있는지를 결정할 수 있다는 것이 이해되게 된다.
본 원에서 정의되는 바와 같이, '실질적인 제거' 또는 '실질적으로 제거한다'는 것은 제거하려는 물질(들)의 90 중량% 이상, 더욱 바람직하게는 95% 이상, 더욱더 바람직하게는 97% 이상, 더욱더 바람직하게는 98% 이상, 가장 바람직하게는 99% 이상을 제거하는 것에 해당한다.
본 원에서 사용되는 바와 같이, 마이크로전자 소자 구조물의 '재작업'은 리소그래피 현상 및 품질 관리 시험의 실패 후에 포토레지스트 물질, 반사반지 코팅(ARC), 중합체 함유 빌드업, 에칭 후 잔류물, 전기도금된 구리 및 이의 조합 중 1 이상의 실질적인 제거에 해당한다. 대안적으로, 재작업은 마이크로전자 소자 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업을 제거하는 것을 포함한다. 재작업은 현장 외 또는 현장 내에서 실시할 수 있다. 재작업 이후, 마이크로전자 소자 구조물은 당업계에 공지된 포토리소그래피 기법에 따라 재코팅, 소성 및 재패턴 처리할 수 있다.
본 원에서 정의되는 바와 같이, '종점'이란 제거 조성물이 반려된 마이크로전자 소자로부터 제거하려는 물질을 더이상 효과적이고 생산적으로 제거하지 않는 범위에 해당한다. 상기 종점은 비한정적으로 포화된(예를 들어, 로딩된) 제거 조성물 및/또는 제거 조성물 중 1 이상의 성분의 방출을 비롯한 많은 상이한 인자의 결과일 수 있다.
본 원에서 정의된 바와 같이, '재순환'은 본 원에서 기술된 바와 같은 물질 제거 후의 마이크로전자 소자의 유지된 층(들)을 재생 및 재사용, 또는 재작업 및 재사용하는 것으로 정의된다. 예를 들어, 재순환된 마이크로전자 소자는 제조 공정 흐름으로 재투입될 수 있거나, 관리 또는 시험 소자로서 사용될 수 있거나, 또는 비관련 공정 또는 비관련 생성물에서 사용될 수 있다.
본 원에서 정의되는 바와 같이, 피팅(pitting)의 '실질적인 제거'란 당업계에 공지된 제거 조성물을 이용하여 전형적으로 관찰되는 것과 관련한 피팅의 감소를 의미한다. 바람직하게는, 피팅의 정도는 다른 제거 조성물을 이용하여 관찰되는 것의 10% 미만, 더욱 바람직하게는 5% 미만, 가장 바람직하게는 2% 미만이다.
재생하려는 마이크로전자 소자 구조물은 베어 규소; 폴리실리콘; 게르마늄; Ⅲ/V 화합물, 예컨대 질화갈륨, 비화갈륨, 인화인듐; 티타나이트; Ⅱ/Ⅳ 화합물; Ⅱ/Ⅵ 화합물, 예컨대 CdSe, CdS, ZnS, ZnSe 및 CdTe; 탄화규소; 사파이어; 사파이어 상의 규소; 탄소; 도핑된 유리; 비도핑된 유리; 다이아몬드; GeAsSe 유리; 및 이의 조합으로 구성된 군으로부터 선택되는 기재를 포함하고, 당업계에서 통상적으로 적용되는 임의의 지름 또는 두께일 수 있다는 것이 이해되어야 한다. 예를 들어, 당업계에서 통상적으로 사용되는 기재 직경으로는 200 mm, 300 mm, 4 in, 6 in 및 향후 450 mm를 들 수 있다. 300 mm의 기재는 두께가 750 μm이고, 다른 기재의 두께는 300 mm 기재와 관련된 직경에 직접적으로 비례한다.
성공적인 재생의 요건으로는 비한정적으로 0이거나 무시할 수 있는 전면, 베벨 엣지 및/또는 이면 규소 피팅; 25개 미만의 0.25 μm 입자, 50개 미만의 0.12 μm의 입자 또는 100개 미만의 0.09 μm 입자, 약 5 μm 미만의 총 두께 변화(TTV), 1 x 1010 원자 cm-2 미만의 표면 금속 오염을 들 수 있으며; 및/또는 재생된 기재의 두께(임의의 다른 유지된 층의 결여)는 기존 기재 두께의 5% 내, 바람직하게는 2% 내, 가장 바람직하게는 1% 내이다. 본 원에서 정의되는 바와 같이, '총 두께 변화'는 당업계에 공지된 두께 스캔 또는 일련 지점의 두께 측정으로서 마이크로전자 소자 웨이퍼의 최대 및 최소 두께 간의 절대차에 해당한다.
성공적인 웨이퍼 재작업의 요건으로는 비한정적으로 유지하려는 층(들)에 실질적으로 손상을 줌 없이 상기 소자 기재의 최외곽 엣지 및 이면으로부터 포토레지스트, 중합체 함유 빌드업 및/또는 전기도금된 구리를 실질적으로 제거하는 것을 포함하고, 이는 이후 공정 중의 입자 및 금속 오염을 감소시킨다.
제거 조성물
제거 조성물은 이후 본 원에서 더욱 충분히 기술되는 바와 같이 광범위한 특정 제제에서 실시될 수 있다.
조성물의 특정 성분이 0 이하의 한계를 포함하는 중량% 범위와 관련하여 논의되는 이러한 모든 조성물에서, 상기 성분은 상기 조성물의 다양한 특정 실시양태에서 존재하거나 부재할 수 있으며, 이러한 성분이 존재하는 경우 이는 상기 성분이 적용되는 조성물의 총중량을 기준으로 0.001만큼 낮은 농도로 존재할 수 있다는 것이 이해되게 된다.
제1 양태에서, 마이크로전자 기재의 재생 또는 재작업을 위해 상기 마이크로전자 소자 구조물의 표면으로부터 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 도핑된 영역 및/또는 보조 물질 중 1 이상을 제거하는 데 유용한 제거 조성물, 및 이의 제조 및 사용 방법이 개시된다. 제1 양태의 제거 조성물은 또한 SiCN을 유용하게 제거하게 된다. 제1 양태의 조성물은 에칭제 공급원을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있으며, 여기서 상기 에칭제 공급원은 불화물 공급원, 예컨대 불화수소산(HF)인 것이 바람직하다.
제1 양태의 한 실시양태에서, 상기 조성물은 상기 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 아민 화학종, 1 이상의 에칭제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 추가 산 화학종, 임의로 1 이상의 킬레이트화제 및 임의로 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있다:
성분 중량%
아민(들) 약 0.1% ∼ 약 70.0%
에칭제(들) 약 0.01% ∼ 약 70.0%
임의의 유기 용매(들) 0 ∼ 약 80.0%
임의의 추가 산(들) 0 ∼ 약 80%
임의의 킬레이트화제(들) 0 ∼ 약 10%
임의의 물 0 ∼ 약 90%
일반적으로, 과도한 노력 없이 당업계 내에서 용이하게 결정될 수 있는 바와 같이 아민(들), 에칭제 공급원(들), 임의의 유기 용매(들), 임의의 추가 산(들), 임의의 킬레이트화제(들) 및 임의의 물의 각각의 다른 것들과 관련한 특정 비율 및 양을 적절하게 변화시켜 제거하려는 물질(들)에 대한 상기 조성물의 소정의 제거 작용 및/또는 가공 장치를 제공할 수 있다.
제1 양태의 조성물은 탈이온수에 의해 20:1로 희석되는 경우 pH 수치가 약 1 ∼ 약 7, 더욱 바람직하게는 약 2.5 ∼ 약 4.5, 가장 바람직하게는 약 3 ∼ 약 3.5이다.
에칭제로는 비한정적으로 불화물, 아민 및/또는 수산화물 염, 예컨대 불화수소(HF); 이불화크세논(XeF2); 불화암모늄(NH4F); 테트라알킬암모늄 플루오라이드(NR4F); 불화수소알킬(NRH3F); 이불화수소암모늄(NH5F2); 불화수소디알킬암모늄(NR2H2F); 불화수소트리알킬암모늄(NR3HF); 삼불화수소트리알킬암모늄(NR3:3HF); 무수 불화수소 피리딘 착물; 무수 불화수소 트리에틸아민 착물; 불화수소아민 착물 중 1 이상을 포함할 수 있으며, 여기서, R은 서로 동일하거나 상이할 수 있고 직쇄형 또는 분지쇄형 C1-C6 알킬기(예를 들어, 메틸, 에틸, 프로필, 부틸, 페틸, 헥실)로 구성된 군으로부터 선택되며, 상기 아민으로는 직쇄형 또는 분지쇄형 C1-C20 알킬아민, 치환되거나 비치환된 C6-C10 아릴아민, 글리콜아민, 알칸올아민 및 아민-N-옥시드, 예를 들어 비한정적으로 피리딘; 2-에틸피리딘; 2-메톡시피리딘 및 이의 유도체, 예컨대 3-메톡시피리딘; 2-피콜린; 피리딘 유도체; 디메틸피리딘; 피페리딘; 피페라진; 트리에틸아민; 트리에탄올아민; 에틸아민, 메틸아민, 이소부틸아민, tert-부틸아민, 트리부틸아민, 디프로필아민, 디메틸아민, 디글리콜 아민; 모노에탄올아민; 피롤; 이속사졸; 1,2,4-트리아졸; 비피리딘; 피리미딘; 피라진; 피리다진; 퀴놀린; 이소퀴놀린; 인돌; 이미다졸; N-메틸모르폴린-N-옥시드(NMMO); 트리메틸아민-N-옥시드; 트리에틸아민-N-옥시드; 피리딘-N-옥시드; N-에틸모르폴린-N-옥시드; N-메틸피롤리딘-N-옥시드; N-에틸피롤리딘-N-옥시드; 1-메틸이미다졸; 디이소프로필아민; 디이소부틸아민; 아닐린; 아닐린 유도체; 및 이의 조합을 들 수 있다. 대안적으로, 에칭제로는 수산화물 염, 예컨대 비한정적으로 알칼리 수산화물, 알칼리 토금속 수산화물, 4차 아민 수산화물 및 이의 조합을 포함할 수 있다. 상기 에칭제는 불화수소를 포함하는 것이 바람직하다.
아민 화학종은 비한정적으로 직쇄형 또는 분지쇄형 C1-C20 알킬아민, 치환되거나 비치환된 C6-C10 아릴아민, 글리콜아민, 알칸올아민 및 아민-N-옥시드, 예컨대 비한정적으로 피리딘; 2-에틸피리딘; 2-메톡시피리딘 및 이의 유도체, 예컨대 3-메톡시피리딘; 2-피콜린; 피리딘 유도체; 디메틸피리딘; 피페리딘; 피페라진; 트리에틸아민; 트리에탄올아민; 에틸아민; 메틸아민; 이소부틸아민; tert-부틸아민; 트리부틸아민; 디프로필아민; 디메틸아민; 디글리콜 아민; 모노에탄올아민; 피롤; 이속사졸; 1,2,4-트리아졸; 비피리딘; 피리미딘; 피라진; 피리다진; 퀴놀린; 이소퀴놀린; 인돌; 이미다졸; N-메틸모르폴린-N-옥시드(NMMO); 트리메틸아민-N-옥시드; 트리에틸아민-N-옥시드; 피리딘-N-옥시드; N-에틸모르폴린-N-옥시드; N-메틸피롤리딘-N-옥시드; N-에틸피롤리딘-N-옥시드; 1-메틸이미다졸; 디이소프로필아민; 디이소부틸아민; 아닐린; 아닐린 유도체; 폴리아민; 및 이의 조합을 포함할 수 있다. 아민 화학종은 이속사졸, TAZ 또는 이의 조합을 포함하는 것이 바람직하다.
대안적으로, 아민 화학종은 결합된 아민-불화수소 염을 포함할 수 있다. 대안적으로, 제1 양태의 제거 조성물은 1 이상의 아민-불화수소 염, 임의로 1 이상의 유기 용매, 임의로 1 이상의 유기산, 임의로 1 이상의 킬레이트화제 및 임의로 물을 포함할 수 있다. 아민-불화수소 염은 비휘발성이고, 이와 같이, 아민 화학종의 증발로 인한 용액 pH의 변화는 피하게 된다. 본 원에서 고려되는 아민-불화수소 염은 HF와의 배합으로 아민-불화수소 염을 형성하는 상기 열거된 아민 중 임의의 것을 비한정적으로 포함한다. 아민-불화수소 염 화학종은 사용되는 경우 이속사졸:HF 및/또는 NMMO:HF를 포함하는 것이 바람직하다. 아민:불화수소 염의 몰비는 반응 조건 및 제거하려는 저-k 유전체 물질의 특성에 따라 약 1:1 ∼ 약 20:1에서 다를 수 있다는 것이 이해되어야 한다.
물은 불화물 화학종을 용해시키는 이의 능력으로 인해 제1 양태의 조성물에 부분적으로 포함될 수 있다. 바람직하게는 물은 탈이온화된다.
유기 용매(들)가 존재하는 경우, 용매로서 작용하고, 유기 잔류물의 침투 및 용해에 일조하며, 마이크로전자 소자 구조물의 표면을 습윤화시켜 물질 제거를 용이하게 하고 및/또는 하부 인접 물질(예를 들어, 마이크로전자 소자 기재)을 부동태화시킨다. 본 원에서 고려되는 유기 용매로는 비한정적으로 알콜, 에테르, 피롤리디논, 글리콜, 카르복실산, 글리콜 에테르, 아민, 케톤, 알데히드, 알칸, 알켄, 알킨 및 아미드, 더욱 바람직하게는 알콜, 에테르, 피롤리디논, 글리콜, 카르복실산 및 글리콜 에테르, 예컨대 메탄올, 에탄올, 이소프로판올, 부탄올 및 고급 알콜(예컨대, 디올, 트리올 등), 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올, 1H,1H,9H-퍼플루오로-1-노난올, 퍼플루오로헵탄산, 1H,1H,7H-도데카플루오로-1-헵탄올, 퍼플루오로펜탄산, 1H,1H,8H,8H-도데카플루오로-1,8-옥탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 5H-퍼플루오로펜탄산, n-부틸 헵타플루오로부티레이트, 테트라히드로푸란(THF), N-메틸피롤리디논(NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 포름산메틸, 디메틸 포름아미드(DMF), 디메틸설폭시드 (DMSO), 테트라메틸렌 설폰(설포란), 디에틸 에테르, 페녹시-2-프로판올(PPh), 프로프리오펜온, 에틸 락테이트, 아세트산에틸, 벤조산에틸, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 양친매성 화학종(디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이의 조합), 분지쇄형 불화 또는 비불화 에테르 결합 카르복실산(CH3CH2)nO(CH2)mCOOH(여기서, n = 1∼10, m = 1∼10), 비분지쇄형 불화 또는 비불화된 에테르 결합 카르복실산(CH3CH2)nO(CH2)mCOOH(여기서, n = 1∼10, m = 1∼10), 분지쇄형 불화 또는 비불화된 비에테르 결합 카르복실산(CH3(CH2)nCOOH(여기서, n = 1∼10), 비분지쇄형 불화 또는 비불화된 비에테르 결합 카르복실산(CH3(CH2)nCOOH(여기서, n = 1∼10), 카르복실산, 트리카르복실산 및 이의 조합을 들 수 있다. 또한, 상기 용매는 다른 양친매성 화학종, 즉, 계면활성제와 유사한 친수성 및 소수성 모두의 부분을 함유하는 화학종을 포함할 수 있다. 소수성 특성은 일반적으로 탄화수소 또는 불화탄소 기로 구성된 분자 군을 포함함으로써 부여될 수 있으며, 친구성 특성은 일반적으로 이온성 또는 비하전된 극성 작용기를 포함함으로써 부여될 수 있다. 바람직하게는, 유기 용매로는 설포란, 부틸 카르비톨, 디프로필렌 글리콜 프로필 에테르 또는 이의 혼합물을 들 수 있다.
임의의 추가 산(들)은 저-k 유전체 물질에서의 가교된 중합체 결합을 깨뜨리거나 가용화시키는 데 일조를 한다. 상기 추가 산은 유기 및/또는 무기 산일 수 있고, 비한정적으로 붕산, 옥살산, 숙신산, 시트르산, 락트산, 아세트산, 트리플루오로아세트산, 테트라플루오로붕산, 불화수소산, 염산, 포름산, 푸마르산, 아크릴산, 말론산, 말레산, 말산, L-타르타르산, 메틸 설폰산, 트리플루오로메탄설폰산, 요오드산, 메르캅토아세트산, 티오아세트산, 글리콜산, 황산, 질산, 프로핀산, 피루브산, 아세토아세트산 및 이의 조합을 들 수 있다.
킬레이트화제(들)를 첨가하여 웨이퍼 재생 중 소자 표면 상의 금속 오염 화학종을 감소시키거나 제거할 수 있다. 본 원에서 고려되는 킬레이트화제(들)로는 비한정적으로 β-디케토네이트 화합물, 예컨대 아세틸아세토네이트, 1,1,1-트리플루오로-2,4-펜탄디온 및 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온; 카르복실레이트, 예컨대 포름산염 및 아세트산염 및 기타 장쇄 카르복실레이트; 및 아미드(및 아민), 예컨대 비스(트리메틸실릴아미드) 사량체를 들 수 있다. 추가 킬레이트화제로는 아민 및 아미노산(즉, 글리신, 세린, 프롤린, 류신, 알라닌, 아스파라긴, 아스파르트산, 글루타민, 발린 및 리신), 시트르산, 아세트산, 말레산, 옥살산, 말론산, 숙신산, 포스폰산, 포스폰산 유도체, 예컨대 히드록시에틸리덴 디포스폰산(HEDP), 1-히드록시에탄-1,1-디포스폰산, 니트릴로-트리스(메틸렌포스폰산), 니트릴로트리아세트산, 이미노디아세트산, 에티드론산, 에틸렌디아민, 에틸렌디아민테트라아세트산(EDTA) 및 (1,2-시클로헥실렌디니트릴로)테트라아세트산(CDTA), 요산, 테트라글림, 펜타메틸디에틸렌트리아민(PMDETA), 1,3,5-트리아진-2,4,6-티티올 삼나트륨 염 용액, 1,3,5-트리아진-2,4,6-티티올 트리암모늄 염 용액, 나트륨 디에틸디티오카르바메이트, 하나의 알킬기(R2 = 헥실, 옥틸, 데세일 또는 도데실) 및 하나의 올리고에테르(R1(CH2CH2O)2, 여기서 R1 = 에틸 또는 부틸)에 의해 이치환된 디티오카르바메이트(R1(CH2CH2O)2NR2CS2Na), 황산암모늄, 모노에탄올아민(MEA), Dequest 2000, Dequest 2010, Dequest 2060, 디에틸렌트리아민 펜타아세트산, 프로필렌디아민 테트라아세트산, 2-히드록시피리딘 1-옥시드, 에틸렌디아민 디숙신산, 나트륨 트리포스페이트 펜타 염기 및 이의 조합을 들 수 있다. 염기와 결합하여 킬레이트화가 가능한 탈양성자화 화합물을 형성하는 것이 필요한 비불화 베타-디케톤과는 달리, 불화 베타-디케톤 킬레이트화제는 염기의 부재 하에 사용할 수 있다. 상기 킬레이트화제는 소자 웨이퍼로 또는 대안적으로 소자 웨이퍼에, 즉, 계 내에 조성물을 투입하기 전에, 제조기에 상기 조성물을 투입할 수 있다. 킬레이트화제(들) 이외에 다른 성분을 상기 조성물에 첨가하여 상기 조성물 중 다른 성분의 농도를 희석하고, 유지하고 및/또는 증가시킬 수 있다는 것이 또한 고려된다.
상기 조성물은 활성뿐만 아니라 비활성 성분, 예를 들어 계면활성제, 레올로지제, 안정화제, 부동태화제, 분산제, pH 안정화제, 산화제 등을 비롯한 추가 성분을 포함할 수 있다. 예를 들어, 약 0.01 ∼ 약 10 중량%의 계면활성제를 본 발명의 제1 양태의 제거 조성물에 첨가할 수 있다. 고려되는 계면활성제로는 비이온성, 음이온성, 양이온성(4차 암모늄 양이온을 기준으로 함) 및/또는 쌍성 이온(zwitterionic) 계면활성제가 있다. 예를 들어, 적합한 비이온성 계면활성제로는 플루오로알킬 계면활성제, 에톡실화 플루오로계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, 도데실벤젠설폰산 또는 이의 염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 개질된 실리콘 중합체, 아세틸렌계 디올 또는 개질된 아세틸렌계 디올, 알킬암모늄 또는 개질된 알킬암모늄 염, 및 알킬페놀 폴리글리시돌 에테르뿐만 아니라 상기 중 1 이상을 포함하는 조합을 들 수 있다. 바람직한 실시양태에서, 비이온성 계면활성제로는 에톡실화 플루오로계면활성제, 예컨대 ZONYL® FSO-100 플루오로계면활성제(듀폰 캐나타 인코포레이티드(DuPont Canada Inc.), 캐나다 온타리오주 미시소거 소재)일 수 있다. 본 발명의 조성물에서 고려되는 음이온성 계면활성제로는 비한정적으로 플루오로계면활성제, 예컨대 ZONYL® UR 및 ZONYL® FS-62(듀폰 캐나타 인코포레이티드, 캐나다 온타리오주 미시소거 소재), 나트륨 알킬 설페이트, 예컨대 나트륨 에틸헥실 설페이트(NIAPROOF® 08), 암모늄 알킬 설페이트, 알킬 (C10-C18) 카르복실산 암모늄 염, 나트륨 설포숙시네이트 및 이의 에스테르, 예를 들어, 디옥틸 나트륨 설포숙시네이트, 알킬 (C10-C18) 설폰산 나트륨 염, 및 이음이온성 설포네이트 계면활성제 DowFax(더 다우 케미칼 컴파니(The Dow Chemical Company), 미국 미시간 주 미들랜드 소재)를 들 수 있다. 고려되는 양이온성 계면활성제로는 알킬암모늄 염, 예컨대 브롬화세틸트리메틸암모늄(CTAB) 및 황산수소세틸트리메틸암모늄을 들 수 있다. 적합한 쌍성 이온 계면활성제로는 암모늄 카르복실레이트, 황산암모늄, 산화아민, N-도데실-N,N-디메틸베타인, 베타인, 설포베타인, 알킬암모니오프로필 설페이트 등을 들 수 있다. 대안적으로, 계면활성제로는 수용성 중합체, 예컨대 비한정적으로 폴리에틸렌 글리콜(PEG), 폴리에틸렌 산화물(PEO), 폴리비닐 피롤리돈(PVP), 양이온성 중합체, 비이온성 중합체, 음이온성 중합체, 히드록시에틸셀룰로스(HEC), 아크릴아미드 중합체, 폴리(아크릴산), 카르복실메틸셀룰로스(CMC), 나트륨 카르복실메틸셀룰로스(Na CMC), 히드록시프로필메틸셀룰로스, 폴리비닐피롤리돈 K30, BIOCARETM 중합체, DOWTM 라텍스 분말(DLP), ETHOCELTM 에틸셀룰로스 중합체, KYTAMERTM PC 중합체, METHOCELTM 셀룰로스 에테르, POLYOXTM 수용성 수지, SoftCATTM 중합체, UCARETM 중합체, UCONTM 유체 및 이의 조합을 들 수 있다. 수용성 중합체는 단쇄 또는 장쇄 중합체일 수 있고 본 발명의 비이온성, 음이온성, 양이온성 및/또는 쌍성 이온 계면활성제와 결합할 수 있다. 계면활성제가 본 발명의 조성물에 포함되는 경우, 바람직하게는 소포제를 상기 조성물의 총중량을 기준으로 0∼5 중량%로 첨가한다. 고려되는 소포제로는 비한정적으로 지방산, 알콜(단독 또는 폴리올) 및 아민, 예컨대 카프릴산 디글리세라이드, 레시틴, 탄산마그네슘, 폴리에틸렌 단독중합체 및 산화된 단독중합체 M3400, 디메토폴리실록산계, 실리콘계, AGITANTM 및 지방산 폴리에테르 유형, 예컨대 LUMITENTM 오일 및 이의 조합을 들 수 있다.
제거 조성물의 제1 양태의 특정 실시양태는 농축된 형태로 존재할 수 있으며, 상기 성분은 하기 조성물의 총중량을 기준으로 하기 범위로 존재할 수 있고:
성분 중량% 바람직한 중량%
아민(들) 약 1% ∼ 약 30.0% 약 5% ∼ 약 20.0%
불화수소산(들) 약 5% ∼ 약 60.0% 약 15% ∼ 약 30.0%
유기 용매(들) 약 5% ∼ 약 98% 약 25% ∼ 약 70%
추가 산(들) 약 5% ∼ 약 35% 약 10% ∼ 약 30%
약 0.01% ∼ 약 50% 약 0.01% ∼ 약 50%
성분 중량% 바람직한 중량%
아민-불화수소 염 약 1% ∼ 약 40.0% 약 5% ∼ 약 30.0%
불화수소산(들) 약 0.01% ∼ 약 5.0% 약 1% ∼ 약 32%
유기 용매(들) 약 40% ∼ 약 90% 약 50% ∼ 약 85%
추가 산(들) 약 1% ∼ 약 20% 약 5% ∼ 약 20%
약 0.01% ∼ 약 50% 약 0.01% ∼ 약 50%
성분 중량% 바람직한 중량%
아민-불화수소 염 약 1% ∼ 약 40.0% 약 30% ∼ 약 35.0%
불화수소산 약 0.01% ∼ 약 5.0% 약 1% ∼ 약 2%
유기 용매(들) 약 45% ∼ 약 99% 약 55% ∼ 약 70%
약 0.01% ∼ 약 25% 약 0.01% ∼ 약 25%
성분 중량% 바람직한 중량%
아민 약 1% ∼ 약 60.0% 약 20% ∼ 약 40%
불화수소산 약 40% ∼ 약 90% 약 35% ∼ 약 45%
약 0.01% ∼ 약 50% 약 0.01% ∼ 약 50%
성분 중량% 바람직한 중량%
아민 약 1% ∼ 약 30.0% 약 5% ∼ 약 25%
불화수소산 약 5% ∼ 약 60.0% 약 15% ∼ 약 50%
유기 용매 약 % ∼ 약 80% 약 30% ∼ 약 75%
약 0.01% ∼ 약 80% 약 0.01% ∼ 약 70%
성분 중량% 바람직한 중량%
아민 약 1% ∼ 약 50% 약 5% ∼ 약 35%
불화수소산 약 10% ∼ 약 75% 약 15% ∼ 약 70%
약 0.01% ∼ 약 90% 약 0.01% ∼ 약 90%
탈이온수 중 제거 조성물의 20:1 희석액의 pH는 약 2.5∼ 약 4.5 범위에 존재한다. 바람직하게는 제1 양태의 제거 조성물은 테트라히드로푸르푸릴 알콜을 30 중량% 미만, 바람직하게는 10 중량% 미만, 더욱 바람직하게는 2 중량% 미만, 더욱더 바람직하게는 1 중량% 미만으로 함유하고, 없는 것이 가장 바람직하다. 본 발명의 광범위한 실행에서, 제1 양태의 제거 조성물은 전술한 실시양태 중 임의의 것을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있다.
제1 양태의 한 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생한다. 즉, 하나의 제거가능한 층 또는 하나 이상의 제거가능한 층을 마이크로전자 소자 구조물로부터 제거할 수 있다.
제1 양태의 또다른 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생할 수 있으며, 여기서 상기 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업이 제거된다. 중요하게는, 상기 구조물의 이면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 제거하는 방법은 필수적이진 않지만 상기 구조물의 전면이 상기 조성물에 노출되는 것을 방지하는 것이 필요할 수 있다. 이러한 공정은 불활성 기체, 예를 들어 질소 및/또는 탈이온수 분무를 이용하여 웨이퍼의 전면을 보호하는 단일 웨이퍼 툴에 상기 구조물을 위치시키는 것을 포함할 수 있다. 대안적으로, 상기 전면은 두꺼운 층의 포토레지스트 또는 기타 보호 코팅 중합체를 상기 전면에 침착시켜 보호할 수 있다. 즉, 상기 조성물의 전면이 이면 및/또는 베벳 엣지를 세정할 때 제1 양태의 제거 조성물에 노출되지 않아야 하는 패턴 및/또는 블랭킷 처리된 물질(들)을 포함하는 경우, 상기 전면은 보호되어야 한다. 또다른 실시양태에서, 전면 및 이면/베벨 엣지 둘 모두는 제1 양태의 제거 조성물에 노출시켜 동시에 전면(예를 들어, 저-k 유전체 물질 등) 및 이면/베벨 엣지(예를 들어, 중합체 함유 빌드업 및 구리 함유 물질)로부터 물질을 제거한다.
또한, 제1 양태의 제거 조성물의 앞선 실시양태는 잔류 물질을 더 포함할 수 있으며, 여기서 상기 잔류 물질은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 도핑된 영역 및/또는 보조 물질 잔류물을 포함한다. 한 실시양태에서, 제거 조성물은 1 이상의 아민 화학종, 불화수소산, 물, 물질 잔류물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제 및 임의로 1 이상의 추가 산 화학종을 포함한다. 또다른 실시양태에서, 제거 조성물은 1 이상의 아민-불화수소 염 화학종, 추가 불화수소산, 물질 잔류물, 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제 및 임의로 1 이상의 추가 산 화학종을 포함한다. 중요하게는, 잔류 물질이 이에 함유되지만, 제1 양태의 제거 조성물은 연속/순환 용도에 실행가능하도록 잔존한다. 잔류 물질은 제거 조성물에 용해되고 및/또는 현탁될 수 있음이 이해되어야 한다.
제1 양태의 실시양태는 하기 제제 A∼BB로 제조될 수 있으며, 여기서 모든 백분율은 제제의 총중량을 기준으로 한 중량이다:
제제 A: 테트라플루오로붕산 4.7 중량%; 트리에탄올아민:HF 11.7 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카르비톨 15.0 중량%; 물 17.3 중량%
제제 B: 테트라플루오로붕산 4.7 중량%; 피리딘:HF 16.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카르비톨 15.0 중량%; 물 13.0 중량%
제제 C: 테트라플루오로붕산 5.9 중량%; 피리딘:HF 8.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카르비톨 19.0 중량%; 물 15.8 중량%
제제 D: 아세트산 17.0 중량%; 피리딘:HF 27.0 중량%; HF 1.2 중량%; 에틸렌 글리콜 27.6 중량%; 설포란 10.0 중량%; DMSO 16.0 중량%; 물 1.2 중량%
제제 E: 피리딘:HF 32.0 중량%; HF 1.3 중량%; 에틸렌 글리콜 32.4 중량%; 설포란 13.0 중량%; DMSO 20.0 중량%; 물 1.3 중량%
제제 F: 피리딘:HF 32.0 중량%; 프로필렌 글리콜 35.0 중량%; 설포란 13.0 중량%; DMSO 20.0 중량%
제제 G: 피리딘:HF 31.1 중량%; HF 1.4 중량%; 프로필렌 글리콜 34.1 중량%; 설포란 12.6 중량%; DMSO 19.4 중량%; 물 1.4 중량%
제제 H: 피리딘:HF 32.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 1.7 중량%
제제 I: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.2 중량%; 에틸렌 글리콜 22.1 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 16.7 중량%
제제 J: 아세트산 13.0 중량%; 1,2,4-트리아졸 7.0 중량%; HF 16.2 중량%; 에틸렌 글리콜 22.1 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 16.7 중량%
제제 K: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 15.0 중량%; 물 24.7 중량%
제제 L: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; NMP 13.0 중량%; 물 16.7 중량%
제제 M: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; 메틸 카르비톨 13.0 중량%; 물 16.7 중량%
제제 N: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; 디프로필렌 글리콜 메틸 에테르 13.0 중량%; 물 16.7 중량%
제제 O: 아세트산 15.0 중량%; 이속사졸 9.0 중량%; HF 17.2 중량%; 에틸렌 글리콜 25.9 중량%; 설포란 15.0 중량%; 물 17.9 중량%
제제 P: 이속사졸 10.3 중량%; HF 20.4 중량%; 에틸렌 글리콜 30.7 중량%; 설포란 17.2 중량%; 물 21.4 중량%
제제 Q: 아세트산 21.1 중량%; 이속사졸 12.0 중량%; HF 23.0 중량%; 설포란 20.0 중량%; 물 23.9 중량%
제제 R: 아세트산 18.0 중량%; 이속사졸 10.2 중량%; HF 20.2 중량%; 설포란 30.4 중량%; 물 21.2 중량%
제제 S: 아세트산 26.4 중량%; 이속사졸 15.0 중량%; HF 28.7 중량%; 물 29.9 중량%
제제 T: 이속사졸 15.2 중량%; HF 29.1 중량%; 설포란 25.4 중량%; 물 30.3 중량%
제제 U: 이속사졸 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%
제제 V: 2-에틸피리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%
제제 W: 2-메톡시피리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%
제제 X: 피페리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%
제제 Y: NMMO 8.0 중량%; HF 17.6 중량%; 설포란 15.0 중량%; 부틸 카르비톨 33.0 중량%; 물 26.4 중량%
제제 Z: 2-메톡시피리딘 7.0 중량%; HF 15.7 중량%; 설포란 61.0 중량%; 물 16.3 중량%
제제 AA: NMMO 7.0 중량%; HF 15.7 중량%; 물 77.3 중량%
제제 BB: NMMO 7.0 중량%; HF 15.7 중량%; 설포란 10.0 중량%; 물 67.3 중량%
성분의 중량% 비율 범위는 에칭제(들)(예를 들어, HF 및/또는 아민:HF) 대 아민(들)이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 1:1 ∼ 약 5:1, 가장 바람직하게는 약 2:1 ∼ 약 3:1이다.
특히 바람직한 실시양태에서, 상기 조성물은 NMMO, HF 및 물을 포함하고, 이로 구성되거나 이로 필수적으로 구성된다.
제2 양태에서, 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 도핑된 영역, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 마이크로전자 소자 구조물의 표면으로부터 제거하는 데 유용한 제거 조성물이 개시된다. 제2 양태의 제거 조성물은 또한 Al 및 SiCN을 유용하게 제거한다. 바람직하게는, 제2 양태의 조성물은 아민 화학종이 실질적으로 결여되어 있다. 존재하는 아민의 양을 감소시킴으로써, 제거 조성물의 전체 비용을 감소시키고, 많은 공급망 문제가 최소화된다. 또한, 아민은 입자 생성과 같은 제조 문제를 잠재적으로 유발시킬 수 있는 HF와 발열 반응하는 것으로 알려져 있다. 본 원에서 정의된 바와 같이, '실질적으로 결여된'이란 상기 조성물의 총중량을 기준으로 상기 조성물의 약 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만에 해당한다.
따라서, 제2 양태는 1 이상의 에칭제 공급원, 예를 들어 불화물 화학종, 예컨대 불화수소산 및 1 이상의 유기 용매를 포함할 수 있다. 더욱 구체적으로는, 제2 양태의 조성물은 조성물의 총중량을 기준으로 하기 범위로 존재하는 1 이상의 에칭제, 예를 들어 HF, 1 이상의 유기 용매, 임의로 물, 임의로 1 이상의 유기산 및 임의로 1 이상의 킬레이트화제를 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다:
성분 중량%
에칭제(들) 약 0.01% ∼ 약 50.0%
유기 용매(들) 약 20 ∼ 약 70.0%
임의의 유기 용매(들) 0 ∼ 약 80.0%
임의의 킬레이트화제(들) 0 ∼ 약 10%
0 ∼ 약 80%
일반적으로, 과도한 노력 없이 당업계 내에서 용이하게 결정될 수 있는 바와 같이 에칭제 공급원(들), 유기 용매(들), 임의의 물, 임의의 유기산(들) 및 임의의 킬레이트화제(들)의 각각 다른 것과 관련한 특정 비율 및 양을 적절히 변경하여 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 도핑된 영역, 보조 물질, 및 이의 조합으로 구성된 군으로부터 선택된 물질에 대한 조성물의 소정 제거 작용, 및/또는 가공 장치를 제공할 수 있다.
바람직하게는, 제2 양태는 조성물의 총중량을 기준으로 10 중량% 이상의 HF를 포함한다. 구리 적층 물질은 제거되지 않는 경우, 제2 양태의 제거 조성물은 산화제 및/또는 카르보네이트 함유 화학종이 결여된다. 또한, 제2 양태의 제거 조성물에 존재하는 물의 양은 조성물의 총량을 기준으로 10∼80 중량%, 더욱 바람직하게는 10 ∼ 약 75 중량%로 존재하는 것이 바람직하다.
제2 양태의 조성물은 pH 수치가 탈이온수에 의해 20:1로 희석되는 경우에 약 1 ∼ 약 7, 더욱 바람직하게는 약 2.5 ∼ 약 4.5, 가장 바람직하게는 약 2.8 ∼ 약 3.5이다.
바람직한 에칭제(들), 유기 용매(들), 임의의 킬레이트화제(들) 및 임의의 유기산(들) 화학종은 앞서 상기 소개되었다. 바람직하게는 물은 탈이온화된다.
상기 조성물은 활성뿐만 아니라 비활성 성분, 예를 들어 계면활성제, 레올로지제, 안정화제, 부동태화제, 킬레이트화제, 분산제, pH 안정화제, 산화제 등을 비롯한 추가 성분을 임의로 포함할 수 있다. 예를 들어, 약 0.01 ∼ 약 10 중량% 계면활성제를 본 원의 제1 양태에서 기술된 바와 같이 본 원의 제2 양태의 제거 조성물에 첨가할 수 있다. 계면활성제가 본 발명의 조성물에 포함되는 경우, 바람직하게는 소포제가 조성물의 총중량을 기준으로 0∼5 중량% 범위로 첨가된다. 소포제는 본 원의 제1 양태에서 기술되었다.
바람직하게는, 제2 양태의 실시양태는 농축된 형태로 존재하고, 조성물의 총중량을 기준으로 하기 범위로 존재하는 하기 성분을 포함하고:
성분 중량% 바람직한 중량%
불화수소산 약 5% ∼ 약 70.0% 약 15% ∼ 약 30%
유기 용매 약 10% ∼ 약 80% 약 50% ∼ 약 70%
약 0.01% ∼ 약 80% 약 0.01% ∼ 약 80%
탈이온수 중 제2 양태의 제거 조성물의 20:1 희석액의 pH는 약 2.5 ∼ 약 4.5 범위 내에 있다. 임의로, 약 0.01 ∼ 약 10 중량% 계면활성제를 첨가할 수 있다.
제2 양태의 한 실시양태에서, 제거 조성물은 HF, 2 이상의 유기 용매 및 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다.
제2 양태의 한 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생할 수 있다. 즉, 하나의 제거가능한 층 또는 하나 이상의 제거가능한 층을 마이크로전자 소자 구조물로부터 제거할 수 있다.
제2 양태의 또다른 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재작업할 수 있으며, 여기서 상기 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업을 제거한다. 상기 구조물의 이면 및/또는 베벨 엣지로부터의 중합체 함유 빌드업의 제거 공정은 본 원의 제1 양태에 기술되었다.
제2 양태의 또다른 실시양태에서, 1 이상의 산화제를 제거 조성물에 조성물의 바람직하게는 총중량을 기준으로 약 3 ∼ 약 20 중량% 범위로 첨가함으로써 SiCOH 필름을 제거하도록 적용할 수 있다. 본 원에서 고려되는 산화제로는 비한정적으로 과산화수소(H2O2), FeCl3 (수화 및 비수화 둘 모두 처리됨), 옥손(2KHSO5·KHSO4·K2SO4), 암모늄 다원자 염(예를 들어, 암모늄 퍼옥소모노설페이트, 아염소산암모늄(NH4ClO2), 염소산암모늄(NH4ClO3), 요오드산암모늄(NH4IO3), 과붕산암모늄(NH4BO3), 과염소산암모늄(NH4ClO4), 과요오드산암모늄(NH4IO3), 과황산암모늄((NH4)2S2O8), 차아염소산암모늄(NH4ClO)), 나트륨 다원자 염(예를 들어, 과황산나트륨(Na2S2O8), 차아염소산나트륨(NaClO)), 칼륨 다원자 염(예를 들어, 요오드산칼륨(KIO3), 과망간산칼륨(KMnO4), 과황산칼륨, 질산(HNO3), 과황산칼륨(K2S2O8), 차아염소산칼륨(KClO)), 테트라메틸암모늄 다원자 염(예를 들어, 아염소산테트라메틸암모늄((N(CH3)4)ClO2), 염소산테트라메틸암모늄((N(CH3)4)ClO3), 요오드산테트라메틸암모늄((N(CH3)4)IO3), 과붕산테트라메틸암모늄((N(CH3)4)BO3), 과염소산테트라메틸암모늄((N(CH3)4)ClO4), 과요오드산테트라메틸암모늄((N(CH3)4)IO4), 과황산테트라메틸암모늄((N(CH3)4)S2O8)), 테트라부틸암모늄 다원자 염(예를 들어, 테트라부틸암모늄 퍼옥소모노설페이트), 퍼옥소모노황산, 질산철(Fe(NO3)3), 과산화수소우레아((CO(NH2)2)H2O2), 과아세트산(CH3(CO)OOH) 및 이의 조합을 들 수 있다. 상기 산화제는 소자 웨이퍼로 또는 대안적으로 소자 웨이퍼에, 즉, 계 내에 조성물을 투입하기 전에, 제조기에 상기 조성물을 투입할 수 있다.
또한, 제2 양태의 제거 조성물은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 도핑된 영역, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택된 물질 잔류물을 더 포함할 수 있다. 바람직하게는, 상기 물질은 제거 조성물에 용해 및/또는 현탁되고, 제거 조성물은 이의 의도되는 용도를 위해 실행가능하도록 잔존한다.
제2 양태의 제거 조성물은 하기 제제 CC∼HH에서 제형화될 수 있고, 여기서 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
제제 CC: HF 20.1 중량%; 부틸 카르비톨 57.5 중량%; 설포란 1.5 중량%; 물 20.9 중량%
제제 DD: HF 37.4 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; 물 38.7 중량%
제제 EE: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; 물 56.0 중량%
제제 FF: 10.04% HF, 10.8% 부틸 카르비톨, 2.2% 설포란 및 76.96% 물
제제 GG: HF 20.1 중량%; 부틸 카르비톨 10.8 중량%; 설포란 2.2 중량%; 물 66.9 중량%
제제 HH: HF 20.1 중량%; 부탄올 10.8 중량%; 설포란 2.2 중량%; 물 66.9 중량%
가장 바람직하게는, 상기 제2 양태는 불화수소, 디에틸렌 글리콜 모노부틸 에테르, 설포란 및 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성되는 제거 조성물에 관한 것이다. 상기 성분의 중량% 백분율 범위는 용매(들) 대 에칭제(들)(예를 들어, HF)가 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 0.5:1 ∼ 약 5:1, 가장 바람직하게는 약 1:1 ∼ 약 3:1이다.
제2 양태의 특히 바람직한 실시양태에서, 제거 조성물은 물, 설포란, 디에틸렌 글리콜 부틸 에테르 및 불화수소을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성되며, 여기서 상기 물의 양은 조성물의 총중량을 기준으로 10 ∼ 약 75 중량% 범위에 있다. 바람직하게는, 상기 조성물은 아민이 실질적으로 결여되어 있다.
본 발명의 제3 양태에서, 제거 조성물은 에칭제 공급원, 예를 들어 불화물 공급원, 예컨대 불화수소산, 1 이상의 유기 용매, 1 이상의 산화제 및 임의로 물을 포함한다. 바람직하게는, 상기 조성물은 아민이 실질적으로 결여되어 있다. 본 조성물 실시양태는 하부 소자 기재에 손상을 줌 없이, 및 상기 기재 표면 상의 구리 염 또는 기타 오염물의 재침착 또는 침전 없이 저-k 유전체 물질, 에칭 정지층, 금속, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질 및/또는 금속 필름 적층체를 제거하는 데 특히 유용하다.
제3 양태의 광범위한 실행에서, 제거 조성물은 1 이상의 에칭제 공급원, 예를 들어 불화수소산, 1 이상의 유기 용매, 1 이상의 산화제 및 임의로 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있다. 일반적으로, 과도한 노력 없이 당업계 내에서 용이하게 결정가능한 바와 같이 에칭제 공급원(들), 유기 용매(들), 산화제(들) 및 임의의 물의 각각 다른 것들과 관련한 특정 비율 및 양을 적절하게 변경하여 저-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 금속, 질화물, 규화물, 산화물, 포토레지스트, 중합체 함유 물질, ARC 물질, 및 이의 조합으로 구성된 군으로부터 선택된 물질에 대한 조성물의 소정의 제거 작용, 및/또는 가공 장치를 제공할 수 있다.
바람직한 에칭제(들), 유기 용매(들) 및 산화제(들)는 앞서 상기에 소개하였다. 바람직하게는, 물은 탈이온화된다.
바람직하게는, 제3 양태의 제거 조성물은 농축된 형태로 존재할 수 있고 조성물의 총중량을 기준으로 하기 범위로 존재하는 하기 성분을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있으며:
성분 중량% 바람직한 중량%
불화수소산 약 10% ∼ 약 60% 약 15% ∼ 약 50%
유기 용매(들) 약 10% ∼ 약 80% 약 20% ∼ 약 75%
약 0.01% ∼ 약 80% 약 0.01% ∼ 약 80%
산화제 약 0.1% ∼ 약 25% 약 1% ∼ 약 20%
탈이온수 중 제3 양태의 제거 조성물의 20:1 희석액의 pH는 약 2.5 ∼ 약 4.5 범위 내에 있다.
상기 조성물은 활성뿐만 아니라 비활성 성분, 예를 들어 계면활성제, 레올로지제, 안정화제, 부동태화제, 킬레이트화제, 분산제, pH 안정화제 등을 포함하는 추가 성분을 임의로 포함할 수 있다. 예를 들어, 약 0.01 ∼ 약 10 중량% 계면활성제를 본 원의 제1 양태에서 기술된 바와 같이 제3 양태의 제거 조성물에 첨가할 수 있다. 계면활성제가 본 발명의 조성물에 포함되는 경우, 바람직하게는 소포제가 상기 조성물의 총중량을 기준으로 0∼5 중량%로 첨가된다. 소포제는 본 원의 제1 양태에서 기술되었다.
또한, 제3 양태의 제거 조성물은 저-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 금속, 규화물, 질화물, 산화물, 포토레지스트 및 이의 조합으로 구성된 군으로부터 선택된 물질 잔류물을 더 포함할 수 있다. 바람직하게는 물질 잔류물은 제거 조성물에 용해 및/또는 현탁되고, 제거 조성물은 연속되는 사용에 실행가능하도록 잔존한다.
제3 양태의 제거 조성물은 하기 제제 II∼KK로 제조될 수 있으며, 여기서 모든 백분율은 조성물의 총중량을 기준으로 한 중량이다:
제제 II: HF 18.3 중량%; 부틸 카르비톨 52.3 중량%; 설포란 1.3 중량%; 물 19 중량%; H2O2 9.1 중량%
제제 JJ: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; 물 55.0 중량%
제제 KK: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; HNO3 0.97 중량%; 물 55.3 중량%
제3 양태의 한 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생한다. 즉, 하나의 제거가능한 층 또는 하나 이상의 제거가능한 층을 마이크로전자 소자 구조물로부터 제거할 수 있다.
제3 양태의 또다른 양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재작업할 수 있고, 여기서 상기 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업이 제거된다. 상기 구조물의 이면 및/또는 베벨 엣지로부터의 중합체 함유 빌드업의 제거 방법은 본 원의 제1 양태에 기술되어 있다.
제4 양태에서, 조성물은 1 이상의 에칭제 공급원, 예를 들어 불화물 공급원, 예컨대 불화수소산, 1 이상의 유기 용매, 1 이상의 산화제, 1 이상의 구리 킬레이트화제 및 임의로 물을 포함한다. 바람직하게는, 제4 양태의 조성물은 아민이 실질적으로 결여되어 있다. 본 조성물 실시양태는 하부 소자 기재를 손상시킴 없이 및 상기 기재 표면 상의 구리 염 또는 기타 오염물의 재침착 또는 침전 없이 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 금속 및 금속 필름 적층체, 질화물, 규화물, 산화물, 배리어층 물질, 강유전체, 포토레지스트, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및/또는 보조 물질을 제거하는 데 특히 유용하다. 제4 양태의 제거 조성물은 또한 SiCN을 유용하게 제거한다.
제4 양태의 광범위한 실행에서, 제거 조성물은 1 이상의 에칭제, 예를 들어 HF, 1 이상의 유기 용매, 1 이상의 산화제, 1 이상의 킬레이트화제 및 임의로 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다. 일반적으로, 과도한 노력 없이 당업계 내에서 용이하게 결정가능한 바와 같이 에칭제 공급원(들), 유기 용매(들), 산화제(들), 킬레이트화제(들) 및 임의의 물의 각각의 다른 것과 관련한 특정 비율 및 양을 적절하게 변경하여 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 금속 및 금속 필름 적층제, 질화물, 규화물, 산화물, 배리어층 물질, 강유전체, 포토레지스트, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및/또는 보조 물질로 구성된 군으로부터 선택된 물질에 대한 조성물의 소정 제거 작용, 및/또는 가공 장치를 제공할 수 있다.
바람직한 유기 용매(들), 킬레이트화제(들) 및 산화제(들)은 앞서 상기에 소개하였다. 바람직하게는, 물은 탈이온화된다.
바람직하게는, 제4 양태의 실시양태는 농축된 형태로 존재하고, 조성물의 총중량을 기준으로 하기 범위로 존재하는 하기 성분을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있으며:
성분 중량% 바람직한 중량%
불화수소산 약 5% ∼ 약 55% 약 10% ∼ 약 45%
유기 용매(들) 약 5% ∼ 약 70% 약 10% ∼ 약 60%
0% ∼ 약 90% 약 0.01% ∼ 약 90%
산화제 약 0.1% ∼ 약 15% 약 1% ∼ 약 10%
킬레이트화제 약 0.01% ∼ 약 5% 약 0.1% ∼ 약 2%
탈이온수 중 제4 양태의 제거 조성물의 20:1 희석액의 pH는 약 2.5 ∼ 약 4.5 범위 내에 있다.
상기 조성물은 활성뿐만 아니라 불활성 성분, 예를 들어 계면활성제, 레올로지제, 안정화제, 부동태화제, 분산제, pH 안정화제 등을 포함하는 추가 성분을 임의로 포함할 수 있다. 예를 들어, 약 0.01 중량% ∼ 약 10 중량% 계면활성제를 본 원의 제1 양태에서 기술되는 바와 같이 제4 양태의 제거 조성물에 첨가할 수 있다. 계면활성제가 본 발명의 조성물에 포함되는 경우, 바람직하게는 소포제를 상기 조성물의 총중량을 기준으로 0∼5 중량%의 범위로 첨가한다. 상기 소포제는 본 원의 제1 양태에서 기술되었다.
제4 양태의 제거 조성물은 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 금속 및 금속 필름 적층제, 질화물, 규화물, 산화물, 배리어층 물질, 강유전체, 포토레지스트, ARC 물질, 중합체 함유 빌드업, 도핑된 영역, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택된 물질 잔류물을 더 포함할 수 있다. 바람직하게는, 물질 잔류물은 제거 조성물에 용해 및/또는 현탁되며, 상기 제거 조성물은 연속되는 사용에 실행가능하도록 잔존한다.
제4 양태는 하기 제제 LL∼QQ로 제조될 수 있고, 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
제제 LL: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; CDTA 0.15 중량%; 물 54.85 중량%
제제 MM: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; EDTA 0.15 중량%; 물 54.85 중량%
제제 NN: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량% H2O2 1 중량%; MEA 0.15 중량%; 물 54.85 중량%
제제 OO: HF 10.04 중량%; 부틸 카르비톨 10. 8 중량%; 설포란 2.2 중량%; H2O2 1 중량%; CDTA 0.15 중량%; 물 75.81 중량%
제제 PP: HF 10.04 중량%; 부틸 카르비톨 10.8 중량%; 설포란 2.2 중량%; H2O2 1 중량%; acac 2 중량%; 물 73.96 중량%
제제 QQ: HF 10.04 중량%; 부틸 카르비톨 10.8 중량%; 설포란 2.2 중량%; H2O2 5 중량%; CDTA 0.15 중량%; 물 71.81 중량%
제제 RR: HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 5 중량%; CDTA 0.15 중량%; 물 50.85 중량%
바람직하게는, 상기 성분의 중량% 비율 범위는 에칭제(들) (예를 들어, HF) 대 산화제(들)이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 0.5:1 ∼ 약 5:1, 가장 바람직하게는 약 2:1 ∼ 약 5:1이고; 용매(들) 대 산화제(들)이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 1:1 ∼ 약 6:1, 가장 바람직하게는 약 3:1 ∼ 약 6:1이며; 킬레이트화제(들) 대 산화제(들)이 약 0.001:1 ∼ 약 0.1, 바람직하게는 약 0.01:1 ∼ 약 0.05:1이다.
중요하게는, 상기 킬레이트화제 및/또는 산화제는 소자 웨이퍼로 또는 대안적으로 소자 웨이퍼에, 즉, 계 내에 조성물을 투입하기 전에, 제조기에 제4 양태의 조성물을 투입할 수 있다. 킬레이트화제(들) 및/또는 산화제(들) 이외에, 다른 성분을 상기 조성물체 첨가하여 상기 조성물 중 다른 성분의 농도를 희석, 유지 및/또는 증가시킬 수 있다는 것이 또한 고려된다.
구리를 비롯한 금속성 오염물의 존재 하에서 HF는 규소를 포함하는 마이크로전자 소자 기재의 피팅을 유발시킨다는 것이 당업계에 알려져 있다. 이러한 불리한 피팅 영향을 실질적으로 제거하기 위해, 염화물 공급원, 예컨대 비한정적으로 염산, 알칼리 금속 염화물(예를 들어, NaCl, KCo, RbCl, CsCl 등), 알칼리 토금속 염화물(예를 들어, MgCl2, CaCl2, SrCl2, BaCl2 등) 및 염화암모늄을 제4 양태의 제거 조성물에 첨가하여 재생 공정 중 마이크로전자 소재 기재의 피팅을 최소화할 수 있다. 예를 들어, 상기 조성물의 총중량을 기준으로 약 0.01 ∼ 약 5 중량%의 진한 HCl, 바람직하게는 약 0.1 ∼ 약 4 중량%의 진한 HCl, 더욱 바람직하게는 약 0.5 ∼ 약 3 중량%의 농축 HCl를 제4 양태의 제거 조성물에 첨가할 수 있다. 즉, 성분들의 중량% 비율의 범위는 산화제(들) 대 진한 HCl이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 1:1 ∼ 약 7:1, 가장 바람직하게는 약 1:1 ∼ 약 5:1이고; 에칭제(들)(예를 들어, HF) 대 진한 HCl이 약 0.1:1 ∼ 약 25:1, 바람직하게는 약 1:1 ∼ 약 20:1, 가장 바람직하게는 약 5:1 ∼ 약 15:1이며; 킬레이트화제(들) 대 진한 HCl이 약 0.001:1 ∼ 약 1:1, 바람직하게는 약 0.01:1 ∼ 약 0.3:1이고; 용매(들) 대 진한 HCl이 약 1:1 ∼ 약 30:1, 바람직하게는 약 5:1 ∼ 약 25:1, 가장 바람직하게는 약 5:1 ∼ 약 20:1이다. 당업자는 농축되지 않은 HCl 용액 또는 염화물 염이 대신 사용되는 경우에 새로운 중량%를 계산할 수 있게 된다.
제4 양태의 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생한다. 즉, 하나의 제거가능한 층 또는 하나 이상의 제거가능한 층을 마이크로전자 소자 구조물로부터 제거할 수 있다.
제4 양태의 또다른 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재작업할 수 있으며, 여기서 상기 구조물의 이면 및/또는 베벳 엣지 상의 중합체 함유 빌드업이 제거된다. 상기 구조물의 이면 및/또는 베벳 엣지로부터 중합체 함유 빌드업을 제거하는 방법은 본 원의 제1 양태에 기술되었다.
제5 양태는 국내 및 국제 환경 표준, 소위 '그린(green)' 제거 조성물에 준하는 제거 조성물에 관한 것이다. 디에틸렌 글리콜 부틸 에테르 및 기타 에틸렌 함유 용매가 HAP 화학 물질이며, 환경에 유해할 수 있다. 예를 들어, 디에틸렌 글리콜 부틸 에테르는 용액 1 리터당 소모되는 산소량인 화학적 산소 요구량(COD) 수준이 매우 높다. 이의 높은 COD 수준 때문에, 디에틸렌 글리콜 부틸 에테르는 국가에 따라 금지되거나 매우 낮은 수준으로 제한되었다.
제5 양태에 따른 '그린' 제거 조성물은 조성물의 총중량을 기준으로 하기 범위로 존재하는 에칭제 공급원, 예를 들어 불화물 공급원, 예컨대 불화수소산, 1 이상의 계면활성제, 임의로 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 유기산, 임의로 1 이상의 산화제, 임의로 1 이상의 염화물 공급원 및 임의로 1 이상의 킬레이트화제를 포함할 수 있으며:
성분 중량%
에칭제(들) 약 0.01% ∼ 약 50.0%
계면활성제(들) 약 0.01% ∼ 약 5.0%
임의의 유기 용매(들) 0% ∼ 약 20.0%
임의의 유기산(들) 0% ∼ 약 80.0%
임의의 킬레이트화제(들) 0% ∼ 약 10%
임의의 산화제(들) 0% ∼ 약 10%
임의의 염화물 공급원(들) 0% ∼ 약 5%
0% ∼ 약 99%
탈이온수 중 제4 양태의 제거 조성물의 20:1 희석액의 pH는 약 2.5 ∼ 약 4.5 범위 내에 있다.
그린 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 임의로 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 유기산, 임의로 1 이상의 산화제, 임의로 1 이상의 염화물 공급원 및 임의로 1 이상의 킬레이트화제을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있다. 일반적으로, 과도한 노력 없이 당업계 내에서 용이하게 결정가능한 바와 같이 에칭제 공급원(들), 계면활성제(들), 임의의 물, 임의의 유기 용매(들), 임의의 유기산(들), 임의의 산화제(들), 임의로 염화물 공급원(들) 및 임의의 킬레이트화제(들)의 각각의 다른 것과 관련한 특정 비율 및 양을 적절하게 변경하여 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 중합체 함유 빌드업, ARC 물질, 도핑된 영역, 보조 물질, 및 이의 조합으로 구성된 군으로부터 선택된 물질에 대한 조성물의 소정 제거 작용, 및/또는 가공 장치를 제공할 수 있다. 바람직한 실시양태에서, 그린 제거 조성물은 아민이 실질적으로 결여되어 있다.
바람직한 에칭제(들), 계면활성제(들), 임의의 유기 용매(들), 임의의 킬레이트화제(들), 임의의 산화제(들), 임의의 염화물 공급원(들) 및 임의의 유기산(들) 화학종은 앞서 상기 소개하였다. 바람직하게는, 물은 탈이온화되고, 바람직하게는, 계면활성제는 도데실벤젠 설폰산 나트륨 염 (DDBSA), DowFax, NIAPROOF® 08 및 이의 조합으로 구성된 군으로부터 선택된 화학종을 포함한다. 상기 그린 제거 조성물의 소정 특정에 있어서, 상기 조성물은 에틸렌기, 예를 들어 에틸렌, 디에틸렌, 트리에틸렌 등 및 기타 HAP 유기 용매를 포함하는 유기 용매가 실질적으로 결여되어 있는 것이 바람직하다. 예를 들어, 유기 용매가 존재하는 경우, 바람직하게는 이로는 프로필렌 및/또는 프로필렌 글리콜 에테르를 들 수 있다.
상기 조성물은 활성뿐만 아니라 비활성 성분, 예를 들어 레올로지제, 안정화제, 부동태화제, 분산제, pH 안정화제 등을 비롯한 추가 성분을 임의로 포함할 수 있다.
바람직한 실시양태에서, 그린 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 물 및 1 이상의 유기 용매를 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다. 또다른 실시양태에서, 그린 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 물, 1 이상의 유기 용매, 1 이상의 산화제, 1 이상의 염화물 공급원 및 1 이상의 킬레이트화제를 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다. 또다른 바람직한 실시양태에서, 그린 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 물, 1 이상의 산화제, 1 이상의 염화물 공급원 및 1 이상의 킬레이트화제를 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다.
그린 제거 조성물은 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 배리어층 물질, 강유전체, 질화물, 규화물, 산화물, 중합체 함유 빌드업, ARC 물질, 도핑된 영역, 보조 물질 및 이의 조합으로 구성된 군으로부터 선택되는 물질 잔류물을 더 포함할 수 있다. 바람직하게는, 상기 물질은 그린 제거 조성물에 용해 및/또는 현탁되며, 상기 제거 조성물은 이의 의도하는 용도에 실행가능하도록 잔존한다.
그린 제거 조성물은 하기 제제 G1∼G5로 제조될 수 있으며, 여기서 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
제제 G1: HF 20.1 중량%; 설포란 2 중량%; DowFax 3B2 0.5 중량%; 물 77.4 중량%
제제 G2: HF 20.1 중량%; 설포란 2 중량%; DowFax 3B2 0.1 중량%; 물 77.8 중량%
제제 G3: HF 20.1 중량%; 설포란 2 중량%; DDBSA 0.5 중량%; 물 77.4 중량%
제제 G4: HF 20.1 중량%; 설포란 2 중량%; DowFax 3B2 0.1 중량%; 물 77.8 중량%
제제 G5: HF 20.1 중량%; 설포란 2.2 중량%; DowFax 3B2 0.5 중량%; H2O2 5 중량%; HEDP 5 중량%; 물 67.2 중량%
제제 G6: HF 20.1 중량%; HCl (conc) 1 중량%; 설포란 2.2 중량%; DowFax 3B2 0.5 중량%; H2O2 5 중량%; HEDP 5 중량%; 물 66.2 중량%
제제 G7: HF 20.1 중량%; 설포란 1.5 중량%; DDBSA 0.5 중량%; 물 77.9 중량%
제제 G8: 20.1 중량% HF; 1.5 중량% 설포란; 0.5 중량% Niaproof 08; 77.9 중량% 물
제제 G9: HF (49%) 41 중량%; HCl (conc) 1 중량%; 설포란 2.2 중량%; Niaproof 08 0.5 중량%; H2O2(50%) 10 중량%; HEDP (60%) 8.3 중량%; 물 37 중량%
제제 G10: HF (49%) 20 중량%; HCl (conc) 10 중량%; Niaproof 08 3 중량%; H2O2(30%) 10 중량%; HEDP (60%) 10 중량%; 물 47 중량%
한 실시양태에서, 그린 제거 조성물은 하기 농축된 실시양태로 제조되며, 여기서 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
성분 중량% 바람직한 중량% 가장 바람직한 중량%
HF 약 0.01% ∼ 약 90% 약 5% ∼ 약 90% 약 10% ∼ 약 50%
계면활성제(들) 약 0.01% ∼ 약 15% 약 0.05% ∼ 약 5% 약 0.1% ∼ 약 3%
유기 용매(들) (비에틸렌 글리콜 에테르) 0% ∼ 약 25% 약 0.01% ∼ 약 10% 약 1% ∼ 약 10%
약 0.01% ∼ 약 99% 약 0.01% ∼ 약 99% 약 0.01% ∼ 약 99%
더욱 바람직하게는, 본 실시양태는 불화수소, 설폰, 1 이상의 나트륨 에틸헥실 설페이트 계면활성제 및 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다. 가장 바람직하게는, 본 실시양태는 HF, 테트라메틸렌 설폰, 나트륨 에틸헥실 설페이트 계면활성제 및 물을 포함하거나, 이로 구성되거나 이로 필수적으로 구성된다. 성분들의 중량 백분율 비율은 유기 용매(들) 대 에칭제(들)가 약 0.01:1 ∼ 약 1:1, 바람직하게는 약 0.05:1 ∼ 약 0.25:1, 가장 바람직하게는 약 0.05:1 ∼ 약 0.2:1이고; 유기 용매(들) 대 계면활성제(들)가 약 1:1 ∼ 약 40:1, 바람직하게는 2:1 ∼ 약 30:1, 가장 바람직하게는 약 3:1 ∼ 약 25:1이다.
대안적으로, 그린 제거 조성물은 하기 농축된 실시양태로 제조되며, 여기서 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
성분 중량% 바람직한 중량% 가장 바람직한 중량%
HF 약 0.01% ∼ 약 90% 약 5% ∼ 약 75% 약 10% ∼ 약 40%
계면활성제(들) 약 0.01% ∼ 약 15% 약 0.05% ∼ 약 5% 약 0.1% ∼ 약 2%
유기 용매(들) (비에틸렌 글리콜 에테르) 0% ∼ 약 25% 약 0.01% ∼ 약 10% 약 1% ∼ 약 10%
산화제(들) 0% ∼ 약 25% 약 0.1% ∼ 약 20% 약 1% ∼ 약 10%
킬레이트화제(들) 0% ∼ 약 25% 약 0.1% ∼ 약 20% 약 1% ∼ 약 10%
염화물 공급원(들) 0% ∼ 약 25% 약 0.1% ∼ 약 10% 약 0.1% ∼ 약 10%
약 0.01% ∼ 약 99% 약 5% ∼ 약 90% 약 10% ∼ 약 99%
가장 바람직하게는, 본 실시양태는 HF, HCl, 설포란, H2O2, HEDP, 1 이상의 계면활성제 및 물을 포함하거나, 이로 구성되거나, 또는 이로 필수적으로 구성된다. 본 실시양태의 성분의 중량 백분율 비율 범위는 유기 용매(들) 대 계면활성제(들)가 약 0.1:1 ∼ 약 15:1, 바람직하게는 약 1:1 ∼ 약 10:1, 가장 바람직하게는 약 2:1 ∼ 약 7:1이고; 에칭제(들) 대 계면활성제(들)가 약 10:1 ∼ 약 60:1, 바람직하게는 약 15:1 ∼ 약 55:1, 가장 바람직하게는 약 25:1 ∼ 약 50:1이며; 산화제(들) 대 계면활성제(들)가 약 0.1:1 ∼ 약 25:1, 바람직하게는 약 1:1 ∼ 약 20:1, 가장 바람직하게는 약 5:1 ∼ 약 15:1이고; 킬레이트화제(들) 대 계면활성제(들)가 약 0.1:1 ∼ 약 25:1, 바람직하게는 약 1:1 ∼ 약 20:1, 가장 바람직하게는 약 5:1 ∼ 약 15:1이다.
또다른 대안에서, 그린 제거 조성물은 하기 농축된 실시양태로 제작되며, 여기서 모든 백분율은 상기 제제의 총중량을 기준으로 한 중량이다:
성분 중량% 바람직한 중량% 가장 바람직한 중량%
HF 약 0.01% ∼ 약 90% 약 1% ∼ 약 65% 약 5% ∼ 약 40%
계면활성제(들) 약 0.01% ∼ 약 15% 약 0.05% ∼ 약 5% 약 0.1% ∼ 약 4%
산화제(들) 0% ∼ 약 25% 약 0.1% ∼ 약 20% 약 1% ∼ 약 10%
킬레이트화제(들) 0% ∼ 약 25% 약 0.1% ∼ 약 20% 약 1% ∼ 약 10%
염화물 공급원(들) 0% ∼ 약 25% 약 0.1% ∼ 약 10% 약 0.1% ∼ 약 10%
약 0.01% ∼ 약 99% 약 5% ∼ 약 90% 약 10% ∼ 약 99%
가장 바람직하게는, 본 실시양태는 HF, HCl, H2O2, HEDP, 1 이상의 계면활성제 및 물을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성된다. 본 실시양태의 성분의 중량 백분율 비율 범위는 에칭제(들) 대 계면활성제(들)가 약 0.1:1 ∼ 약 20:1, 바람직하게는 약 0.5:1 ∼ 약 10:1, 가장 바람직하게는 약 1:1 ∼ 약 6:1이고; 산화제(들) 대 계면활성제(들)가 약 0.01:1 ∼ 약 15:1, 바람직하게는 약 0.1:1 ∼ 약 5:1, 가장 바람직하게는 약 0.5:1 ∼ 약 2:1이며; 킬레이트화제(들) 대 계면활성제(들)가 약 0.1:1 ∼ 약 20:1, 바람직하게는 약 0.5:1 ∼ 약 10:1, 가장 바람직하게는 약 1:1 ∼ 약 5:1이다.
제4 양태와 유사하게, 상기 상세히 기술한 바와 같이 염산을 제5 양태의 제거 조성물에 첨가하여 재생 공정 중 마이크로전자 소자 기재의 피팅을 최소화시킬 수 있다. 제5 양태에 있어서, 성분의 중량 백분율 비율 범위는 산화제(들) 대 진한 HCl이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 1:1 ∼ 약 8:1, 가장 바람직하게는 약 1:1 ∼ 약 7:1이고; 에칭제(들)(예를 들어, HF) 대 진한 HCl이 약 0.1:1 ∼ 약 25:1, 바람직하게는 약 1:1 ∼ 약 20:1, 가장 바람직하게는 약 5:1 ∼ 약 20:1이며; 계면활성제(들) 대 진한 HCl이 약 0.01:1 ∼ 약 2:1, 바람직하게는 약 0.1:1 ∼ 약 1:1이고; 킬레이트화제(들) 대 진한 HCl이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 1:1 ∼ 약 8:1, 가장 바람직하게는 약 1:1 ∼ 약 7:1이며; 용매(들) 대 진한 HCl이 약 0.1:1 ∼ 약 10:1, 바람직하게는 약 0.5:1 ∼ 약 5:1, 가장 바람직하게는 약 0.5:1 ∼ 약 4:1이다.
제5 양태의 한 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재생한다. 즉, 하나의 제거가능한 층 또는 하나 이상의 제거가능한 층을 마이크로전자 소자 구조물로부터 제거할 수 있다.
제5 양태의 또다른 실시양태에서, 제거 조성물을 사용하여 마이크로전자 소자 구조물을 재작업할 수 있으며, 여기서 상기 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업이 제거된다. 상기 구조물의 이면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 제거하는 방법이 본 원의 제1 양태에 기술되어 있다.
본 발명의 제1 내지 제5 양태의 제거 조성물을 이용하여 제거되는 저-k 유전체 물질로는 CORALTM BLACK DIAMONDTM(이후, BD), CORAL의 유도체, BD의 유도체, AURORA®, AURORA®의 유도체, SiCOH 등을 들 수 있다. 본 원에서 사용된 바와 같이, 'CORAL의 유도체' 및 'BD의 유도체'는 대안적인, 흔히 독점적인 침착 공정을 이용하여 침착하는 각각의 CORAL 및 BD 물질에 해당한다. 다른 공정 기술을 이용하여 각각 CORALTM 및 BLACK DIAMONDTM과 다른 CORAL 및 BD를 산출하게 된다.
제1 내지 제5 양태의 제거 조성물은 제거 조성물을 마이크로전자 소자와 접촉시키기 전 CMP 공정 중에 전형적으로 사용되는 연마용 물질이 실질적으로 없어야 한다는 것이 주지된다.
중요하게는, 제1 내지 제5 양태의 제거 조성물은 중합체 함유 빌드업, 금속 적층 물질, 저-k 유전체층, 고-k 유전체층, 에칭 정지층, 질화물, 규화물, 산화물, 배리어층, 포토레지스트, 에칭 후 잔류물, 보조 물질, 도핑된 영역(도핑된 에피택셜 Si 이외에) 및/또는 기타 물질 중 1 이상을 마이크로전자 소자 표면으로부터 동시에 제거하는 데 효과적이다. 예를 들어, 당업자에 의해 용이하게 결정되는 바와 같이, 제거 조성물은 마이크로전자 소자의 이면 및/또는 베벨 엣지로부터 중합체 및 기타 잔류물을 동시에 제거하면서 상기 마이크로전자 소자의 전면으로부터 저-k 유전체 물질을 효과적으로 제거할 수 있다. 이와 같이, 마이크로전자 소자 제조 조작에 적용되는 경우, 본 발명의 제거 조성물은 구조물의 재순환 및/또는 재사용을 위한 단일 재생 또는 재작업 단계에서 마이크로전자 소자 구조물으로부터 저-k 유전체 물질, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 포토레지스트, 배리어층, 중합체 함유 빌드업, 강유전체, 보조 물질, 도핑된 영역(도핑된 에피택셜 Si 이외에) 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 제거하는 데 유용하게 적용된다. 중요하게는, 제1 내지 제5 양태의 제거 조성물은 재생 요건, 예컨대 비한정적으로 0.25 μm 입자 25 개 미만, 0.12 μm 입자 50 개 미만 또는 0.09 μm 입자 100 개 미만, 총 두께 변화(TTV) 약 5 μm 미만(제거 후 평탄화 공정 필요 없음), 표면 금속 오염도 1 x 1010 원자cm-2 미만; 및/또는 재생 기판의 두께(임의의 다른 유지되는 층이 없음)는 기존 기판의 두께의 5% 내, 바람직하게는 2% 내, 가장 바람직하게는 1% 내임; 뿐만 아니라 재작업/세정 요건을 만족시킨다. 더욱이, 낮은 TTV로 인해, 현 재생 실행의 전형적인, 즉, 물질의 습식 제거 후 기재를 평탄화시키는 화학적 기계적 연마(CMP) 단계는 재사용 이전에 웨이퍼의 전면 또는 이면을 평탄화시키는 것이 필요하지 않을 수 있다. 대안적으로, CMP 단계의 파라미터를 변경하여 에너지 요건을 상당히 감소시킬 수 있으며, 예를 들어 연마 시간 길이를 단축시키는 것 등이 있다. 가장 바람직하게는, TTV는 마이크로전자 소자 기재로부터의 물질의 제거 후에 3% 미만, 더욱 바람직하게는 1% 미만, 가장 바람직하게는 0.5% 미만이다.
또한, 제1 내지 제5 양태의 제거 조성물은 재생 요건을 만족하며, 예를 들어 유지하려는 층(들)에 실질적으로 손상을 줌 없이 소자 기재의 최외곽 엣지 및 이면으로부터 포토레지스트, 중합체 함유 빌드업 및/또는 전기도금된 구리를 실질적으로 제거한다. 중요하게는, 종래 기술(예를 들어, 엣지의 물리적 연마, 건식 플라즈마 에칭, 연소 등)에서의 재작업 조성물과는 달리, 마이크로전자 소자 구조물로부터 제거되는 1 이상의 물질은 습식 용액(들)에 의해 제거될 수 있다.
당업자에 의해 용이하게 결정가능한 바와 같이, 본 원에서 개시되는 제1 내지 제5 양태의 임의의 제거 조성물은 (CMP) 공정 중에 사용되어, 즉, 구리를 평탄화하고 배리어층 물질을 제거하여 CDO 및 기타 저-k 유전체 물질을 제거하는 것을 촉진시킬 수 있다. 중요하게는, 상기 적용이 예를 들어 CMP 가공 중 구리층 상의 정지를 필요로 하고 제거 조성물(예를 들어, 임의의 제1 내지 제5 양태)이 1 이상의 킬레이트화제를 포함하는 경우, 제거 조성물은 바람직하게는 1 이상의 구리 부동태화제 화학종을 더 포함한다. 고려되는 구리 부동태화제 화학종으로는 비한정적으로 1,2,4-트리아졸, 벤조트리아졸 (BTA), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-페틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸, 2-메르캅토벤조이미디졸(MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 5-아미노테트라졸(ATA), 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 메르캅토벤조티아졸, 이미다졸린 티온, 메르캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인디아졸 및 이의 조합을 들 수 있다. 디- 및 폴리-카르복실산, 예컨대 옥살산, 말론산, 숙신산, 니트릴로트리아세트산, 이미노디아세트산 및 이의 조합이 또한 유용한 구리 부동태화제 화학종이다. 제거 조성물이 용매, 예컨대 물에 의해 희석되고, 비한정적으로 연마 슬러리로부터의 입자, 탄소 풍부한 입자, 연마 패드 입자, 브러쉬 이탈 입자, 구조 입자의 장치 물질, 구리, 구리 산화물, 및 CMP 공정의 부산물인 기타 물질을 비롯한 CMP 후 잔류물을 제거하기 위한 화학적 기계적 연마(CMP) 후 조성물로서 사용될 수 있다는 것이 고려된다. CMP 후 용도로 사용되는 경우, 농축된 제거 조성물은 용매 대 농축물이 약 1:1 ∼ 약 1000:1인 범위로 희석될 수 있으며, 여기서 용매는 물 및/또는 유기 용매일 수 있다.
또다른 양태에서, 당업자에 의해 용이하게 결정가능한 바와 같이, 본 원에서 개시된 제거 조성물을 약 5 ∼ 약 8, 바람직하게는 약 5.5 ∼ 약 7의 범위의 pH로 완충시켜 제조 중 구조 물질, 예를 들어 스틸(steel) 배수 시스템 및 기타 툴의 부식을 최소화시킬 수 있다. 고려되는 완충 화학종으로는 비한정적으로 유기 4차 염기, 알칼리 염기, 알칼리 토금속 염기, 유기 아민, 알콕시드, 아미드 및 이의 조합을 들 수 있다. 더욱 구체적으로, 완충 화학종으로는 벤질트리메틸암모늄 수산화물, 벤질트리에틸암모늄 수산화물, 벤질트리부틸암모늄 수산화물, 디메틸디에틸암모늄 수산화물, 테트라메틸 암모늄 수산화물, 테트라에틸 암모늄 수산화물, 테트라프로필 암모늄 수산화물, 테트라부틸 암모늄 수산화물, 암모늄 수산화물, 칼륨 수산화물, 세슘 수산화물, 루비듐 수산화물, 알킬 포스포늄 수산화물 및 이의 유도체, 아닐린, 벤즈이미다졸, 벤질아민, 1-부탄아민, n-부틸아민, 시클로헥산아민, 디이소부틸아민, 디이소프로필아민, 디메틸아민, 에탄아미드, 에탄아민, 에틸아민, 에틸렌디아민, 1-헥산아민, 1,6-헥산디아민, 피라진, 피리다진, 우레아, N-메틸피롤리돈, 디글리콜아민, 피리딘, 트리에틸아민, 모노에탄올아민, 트리에탄올아민, 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 2 메톡시 피리딘, 이속사졸, 1,2,4 트리아졸 및 유도체 및 이의 조합을 들 수 있다.
공정 및 키트
제거 조성물은 각각의 성분을 단순히 첨가하거나 균일 조건으로 혼합하여 용이하게 제조한다. 더욱이, 제거 조성물은 단일 포장 제제 또는 사용 시 혼합되는 다중 부분 제제로 용이하게 제조될 수 있다. 다중 부분 제제의 개별 부분은 상기 툴에서, 또는 상기 툴 상류의 저장 탱크에서 혼합될 수 있다. 개별 성분의 농도는 본 발명의 광범위한 실행에서, 예를 들어 더욱 희석되거나 더욱 농축된 특정 다중의 제거 조성물에서 광범위하게 변할 수 있으며, 본 발명의 제거 조성물은 가변적이고 대안적으로 본 원에서 개시물과 동일한 임의의 조합의 성분을 포함하거나, 이로 구성되거나, 이로 필수적으로 구성될 수 있다는 것이 이해되게 된다. 한 실시양태에서, 제거 조성물의 농축물은 무수 농축물이며, 물은 제조 시 사용자에 의해 첨가될 수 있다.
따라서, 또다른 양태는 소량의 물 및/또는 용매를 갖거나, 대안적으로 물 및/또는 용매가 없는 제1 내지 제5 양태에서 기술된 조성물의 농축 제제에 관한 것이며, 여기서 물 및/또는 용매는 사용 전에 첨가되어 본 발명의 제거 조성물을 형성할 수 있다. 농축된 제제는 용매 대 농축물이 약 1:10 ∼ 100:1인 범위로 희석될 수 있으며, 여기서 용매는 물 및/또는 유기 용매일 수 있다. 한 실시양태에서, 제거 조성물의 농축물은 무수 농축물이며, 물은 제조시 사용자에 의해 첨가될 수 있다.
또다른 양태는 1 이상의 용기에 본 발명의 제거 조성물을 형성하도록 적용된 1 이상의 성분을 포함하는 키트에 관한 것이다. 상기 키트는 제조 시 희석제(예를 들어, 물 및/또는 유기 용매)로서 배합하거나 이와 배합하기 위한 1 이상의 아민, 불화수소산, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 추가 산 및 임의의 물을 1 이상의 용기에 포함할 수 있다. 대안적으로, 상기 키트는 제조 시 희석제(예를 들어, 물 및/또는 유기 용매)로서 배합하거나 이와 배합하기 위한 1 이상의 아민, 불화수소산, 1 이상의 유기 용매, 1 이상의 추가 산 및 임의의 물을 포함할 수 있다. 또다른 실시양태에서, 상기 키트는 제조 시 희석제(예를 들어, 물 및/또는 유기 용매)로서 배합하거나 이와 배합하기 위한 1 이상의 아민, 불화수소산, 1 이상의 유기 용매 및 임의의 물을 포함할 수 있다. 또다른 대안예에서, 상기 키트는 제조 시 희석제(예를 들어, 물 및/또는 유기 용매)로서 배합하거나 이와 배합하기 위한 1 이상의 아민-불화수소 염, 추가 불화수소산, 1 이상의 유기 용매, 임의의 물 및 임의로 1 이상의 추가 산을 1 이상의 용기에서 포함할 수 있다.
대안적으로, 상기 키트는 제조 시 희석제(예를 들어, 물 및/또는 유기 용매)로서 배합하거나 이와 배합하기 위한 불화수소산, 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 계면활성제, 임의의 물 및 임의로 1 이상의 유기산을 1 이상의 용기에서 포함할 수 있다. 또다른 실시양태에서, 상기 키트는 제조 시 희석제(예를 들어, 물, 유기 용매 및/또는 산화제)로서 배합하거나 이와 배합하기 위한 불화수소산, 1 이상의 유기 용매, 1 이상의 산화제, 1 이상의 킬레이트화제 및 임의로 물을 1 이상의 용기에서 포함할 수 있다. 또다른 실시양태에서, 상기 키트는 제조 시 희석제(예를 들어, 유기 용매 및/또는 산화제)로서 배합하거나 이와 배합하기 위한 불화수소산, 1 이상의 계면활성제, 임의로 물, 임의로 1 이상의 유기 용매, 임의로 1 이상의 유기산, 임의로 1 이상의 염화물 공급원 및 임의로 1 이상의 킬레이트화제를 1 이상의 용기에서 포함할 수 있다. 상기 키트는 당업자에의 의해 용이하게 결정되는 바와 같이 임의의 조합으로 상기 실시양태의 임의의 성분을 포함할 수 있다는 것이 이해되어야 한다.
상기 키트의 용기는 화학적으로 등급화되어 이에 함유된 성분(들)을 저장하고 분배해야 한다. 예를 들어, 키트의 용기는 NOWPak® 용기(어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코너티컷주 댄버리 소재)일 수 있다. 상기 제거 조성물의 성분을 함유하는 1 이상의 용기는 배합 및 분배를 위한 유체 전달로 상기 1 이상의 용기의 성분을 이송하기 위한 수단을 포함한다. 예를 들어, NOWPak® 용기와 관련하여, 기체 압력을 상기 1 이상의 용기의 라이너(liner) 외부에 적용하여 상기 라이너의 함량의 적어도 일부가 배출되어 이로써 배합 및 분배를 위한 유체 전달이 가능하도록 할 수 있다. 대안적으로, 기체 압력을 통상의 가압가능한 용기의 헤브 공간에 적용할 수 있거나, 펌프를 사용하여 유체 전달이 가능하도록 할 수 있다. 또한, 상기 시스템은 바람직하게는 공정 툴로의 배합된 제거 조성물을 분배하기 위한 분배부를 포함한다.
바람직하게는, 실질적으로 화학적으로 불활성이고 불순물이 없는 가용성 및 탄성의 중합체 필름 물질, 예컨대 고밀도 폴리에틸렌을 사용하여 상기 1 이상의 용기를 위한 라이너를 제조하는 데 사용한다. 소정의 라이너 물질은 공압출층 또는 배리어층의 필요 없이 및 라이너에 배치되는 성분들에 대한 순도 요건에 부정적으로 영향을 줄 수 있는 임의의 안료, UV 억제제 또는 가공제 없이 가공된다. 소정의 라이터 물질의 목록은 순수(첨가제가 없는) 폴리에틸렌, 순수 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리염화비닐리덴, 폴리염화비닐, 폴리아세탈, 폴리스티렌, 폴리아크릴로니트릴, 폴리부틸렌 등을 포함하는 필름을 포함한다. 이러한 라이너 물질의 바람직한 두께는 약 5 mil(0.005 in) ∼ 약 30 mil(0.030 in), 예를 들어 20 mil(0.020 in)이다.
본 발명의 키트를 위한 용기와 관련하여, 하기 특허 및 특허 출원의 개시는 이들 개개의 전체로 본 원에서 참조인용된다: "APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS" 표제의 U.S. 특허 7,188,644; "RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM" 표제의 미국 특허 6,698,619; 및 "SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION" 표제의 미국 특허 출원 60/916,966(John E.Q. Hughes의 이름으로 2007년 5월 9일에 출원됨).
액체 용액 이외에, 제거 조성물은 발포체, 연무, 밀도 유체(즉, 초임계 또는 아임계 유체, 여기서 상기 용매는 물 및/또는 유기 용매(들) 이외에 또는 이의 대신에 CO2 등임)로서 제조될 수 있다는 것이 본 원에서 또한 고려된다.
중요하고 대안적으로, 상기 조성물은 단일 단계로 마이크로전자 소자 기재로부터 에칭 후 잔류물, 저-k 유전체 물질, 고-k 유전체 물질, 에칭 정지층, 질화물, 규화물, 산화물, 금속 적층 물질, 강유전체, 배리어층, 포토레지스트, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 용해시키거나 박리시킨다(즉, 제거하려는 모든 물질(들)은 단일 침지를 위한 단일 조성물과 반려된 마이크로전자 소자 기재를 접촉시킴으로써 제거할 수 있음). 가장 바람직하게는, 제거가능한 물질을 단일 단계로 용해시키거나 박리하며, 단, 기계적 연마가 재순환 및/또는 재사용 전에 필요하지 않다. 상기 정의된 바와 같이, '용매'는 고체 용질(예를 들어, 제거하려는 물질)이 용매에 투입되어 용액을 형성하는 공정을 포괄한다. '용해'는 또한 제거하려는 물질의 에칭, 분해, 화학적 연마 및 이의 조합을 포함하는 것으로 의도된다. 용해는 이후 상기 기재 상에 침강할 수 있는 입자 물질의 생성을 최소화할 뿐만 아니라 제거 장치의 막힘을 상당히 제거하는 장점을 보유한다.
이롭게는, 제거 공정 후의 마이크로전자 소자 구조물의 잔류층은 바람직하게는 추가 제조 공정, 즉, 새로운 물질층, 예를 들어 저-k 유전체, 고-k 유전체, 포토레지스트, 금속 적층 층, 에칭 정지층 등의 침착 공정 이전의 전면 및/또는 이면을 평탄화할 필요 없이 상당히 평탄하고 손상되지 않는다. 예를 들어, 재생 후에 잔류층이 단지 마이크로전자 소자 기재 및 에피태셜 Si 층을 포함하는 경우, 상기 기재는 기계적 연마를 고가로 및 구조적으로 포함할 필요 없이 재순환/재사용에 용이한 것이 바람직하다. 기계적 연마를 필요한 경우 사용할 수 있다는 것이 이해되어야 한다.
또다른 양태에서, 제거 방법이 개시되며, 여기서 저-k 유전체층, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층, 포토레지스트, ARC 물질, 에칭 후 잔류물, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 상기 층을 상부 갖는 마이크로전자 소자로부터 제거한다. 예를 들어, 하부 기재 및 에칭 정지층(예를 들어, SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs), 및 금속 적층 물질의 일체성을 유지하면서 저 k-유전체 물질은 제거될 수 있다. 대안적으로, 저-k 유전체층 및 금속 적층 물질은 하부 기재 및/또는 에칭 정지층의 일체성을 유지하면서 제거될 수 있다. 또다른 대안예에서, 저-k 유전체층, 에칭 정지층 및 금속 적층 물질은 하부 기재의 일체성을 유지하면서 제거될 수 있다.
추가 양태에서, 본 원에서 개시된 제거 조성물은 마이크로전자 소자 구조물을 세정하는 데 사용될 수 있으며, 여기서 상기 구조물의 이면 및/또는 베벨 엣지 상의 중합체 함유 빌드업이 제거된다. 한 실시양태에서, 상기 구조물의 이면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 제거하는 방법은 불활성 기체, 예를 들어 질소 기체 및/또는 탈이온수 분무를 이용하여 웨이퍼의 전면을 보호하는 단일 웨이퍼 툴에 상기 구조물을 위치시키는 것을 포함한다. 대안적으로, 상기 전면은 그 전면 상에 두꺼운 층의 포토레지스트 또는 기타 보호 코팅 중합체 침착시켜 보호할 수 있다. 즉, 손상 받아서는 않될 블랭킷 또는 패턴 처리된 층을 포함하는 구조물의 전면은 상기 이면 및/또는 베벨 엣지를 세정하는 경우 제거 조성물에 노출되어선 않된다. 또다른 실시양태에서, 전면 및 이면/베벨 엣지 둘 모두는 상기 제거 조성물에 노출되어 전면(예를 들어, 저-k 유전체 물질) 및 이면/베벨 엣지(예를 들어, 중합체 함유 빌드업 및 구리 함유 물질)로부터 물질이 동시에 제거된다.
마이크로전자 소자 웨이퍼는 현장 외 또는 현장 내에서 재작업될 수 있다. 현장 내 재작업 및 재순환은 전체 수율을 증가시키고, 전체 비용을 감소시키며, 진단 공정 및 재작업 간의 순환 시간을 감소시키는 장점을 보유한다.
제거 적용에서, 제거 조성물은 상부에 제거하려는 물질을 갖는 반려된 마이크로전자 소자에 임의의 적합한 방식으로, 예를 들어 제거 조성물을 상기 소자 표면 상에 분무하는 것, 제거가능한 물질을 포함하는 소자를 (제거 조성물의 부피로) 침지시키는 것, 상부에 제거 조성물이 흡착된 또다른 물질, 예를 들어 패드 또는 섬유성 흡착제 적용기 부재와 상기 소자를 접촉시키는 것, 제거하려는 물질을 포함하는 소자를 재순환 제거 조성물과 접촉시키는 것, 또는 제거 조성물이 제거하려는 물질과 제거 접촉하는 임의의 다른 적합한 수단, 방식 또는 기법에 의해 접촉된다. 접촉 조건은 상기 제거가능한 물질을 제거하는 데 충분한 기간 및 조건을 포함한다. 또한, 회분식 또는 단일 웨이퍼 공정이 본 원에서 고려된다. 제거 조성물을 이용한 제거 공정은 제거 조성물에서 소자를 정적 세정하거나, 동적 세정하거나, 또는 동적 세정 후 정적 세정을 포함하는 순차 공정을 포함할 수 있으며, 여기서 각각의 동적 및 정적 단계는 상기 교대 단계의 순환에서 교차적으로 및 반복적으로 실시한다.
상기 제거 조성물은 매우 다양한 통상의 세정 툴, 예컨대 Verteq 단일 웨이퍼 메가소닉 Goldfinger, OnTrak 시스템 DDS(이중면 스크러버), Laurell 핀-스프레이(spin-spray) 툴, SEZ 단일 웨이퍼 스프레이 린스, Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM 및 Megasonic 회분식 습식 벤치 시스템과 함께 사용할 수 있다.
마이크로전자 소자 제조 조작에 적용하는 경우, 마이크로전자 소자 구조물로부터 저-k 유전체층, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층 물질, 포토레지스트, 에칭 후 잔류물, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합을 포함하는 군으로부터 선택되는 1 이상의 물질을 상기 구조체의 재생, 재작업, 재순환 및/또는 재사용을 위해 제거하는 데 제거 조성물이 유용하게 적용된다. 또한, 제거 조성물을 화학적 기계적 연마 공정 중에 사용하여 CDO 및 기타 저-k 유전체 물질의 제거 또는 CMP 후 잔류 물질을 제거하는 CMP 후 공정을 촉진시킬 수 있다는 것이 이해되어야 한다.
저-k 유전체층, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층, 포토레지스트, 에칭 후 잔류물, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 이를 상부에 갖는 마이크로전자 소자 구조물로부터 제거하는 경우, 제거 조성물을 전형적으로 약 30 초 ∼ 약 60 분, 더욱 바람직하게는 약 75 초 ∼ 약 5 분의 시간 동안 소자 구조물과 접촉시키며, 바람직한 시간은 약 20℃ ∼약 90℃, 바람직하게는 약 25℃ ∼ 약 60℃, 가장 바람직하게는 약 25℃ ∼ 약 50℃ 범위의 온도에서 제거하려는 층(들)의 두께에 따라 다르다. 에칭 정지층이 제거되는 경우, 접촉 시간은 에칭 정지층의 두께에 따라 약 25℃ ∼ 약 80℃ 범위의 온도에서 약 5 분 ∼ 약 3 시간 범위 내에 있을 수 있다. 상기 접촉 시간 및 온도는 예시적인 것이며, 본 발명의 광범위한 실행 내에서 상기 소자 구조물로부터 물질(들)을 실질적으로 제거하는 데 효과적인 임의의 다른 적합한 시간 및 온도 조건을 적용할 수 있다.
소정의 제거 작용의 달성 후, 제거 조성물은 상기 조성물이 앞서 도포된 마이크로전자 소자로부터, 예를 들어, 세정, 세척, 건조, 또는 본 원에서 개시된 조성물의 소정의 최종 사용에서 바람직하고 효과적일 수 있는 기타 제거 단계(들)에 의해 용이하게 제거된다. 예를 들어, 마이크로전자 소자는 탈이온수에 의해 세정될 수 있다. 또한, 마이크로전자 소자는 질소 기체, 이소프로판올 또는 SEZ(스핀 공정 기법)에 의해 건조될 수 있다.
사용되는 경우, 밀도 유체를, 예를 들어 소정의 접촉 조작을 실시하는 데 적합한 부피 속도 및 양으로 SCF계 조성물이 공급되는 가압 접촉 챔버에서 적합한 고압, 바람직하게는 약 1,500 ∼ 약 4,500 psi, 바람직하게는 약 3,000 ∼ 약 4,500 psi 범위에서 적용할 수 있다. 보증되는 경우 보다 크거나 적은 접촉 지속 시간 및 온도가 본 원의 광범위한 실행에 이롭게 적용될 수 있지만, 전형적인 접촉 시간은 약 1 분 ∼ 약 30 분 범위이고, 온도가 약 35℃ ∼ 약 75℃, 바람직하게는 약 60℃ ∼ 약 75℃ 범위 내에 있다. 밀도 유체 조성물을 이용한 제거 공정은 정적 침적, 동적 접촉 방식, 또는 동적 흐름 후 정적 침적을 포함하는 순차 공정을 포함할 수 있으며, 각각의 동적 흐름 및 정적 침적 단계는 상기 교차 단계의 순환에서 교차적이고 반복적으로 실시된다.
제거 조성물은 그 조성물의 반려된 마이크로전자 소자 구조물과의 접촉 중 통계적 공정 관리(SPC)를 이용하여 모니터링되고 관리될 수 있다. 예를 들어, 제거 조성물 배스(bath)의 SPC는 모니터링되고 관리되는 몇몇 입력, 예컨대 배스의 온도, 배스의 pH, 배스의 주성분 농도, 부산물의 농도 및 공급 화학물 순도일 수 있다. 바람직하게는, 제거 조성물은 라인 내 모니터링(in-line monitoring)을 이용하여 모니터링하며, 여기서 라인 내 샘플링 장치는 배스 중량 손실(물 및/또는 아민 손실을 나타냄), 불화물 농도, H2O2 농도, pH 등을 모니터링하는 표준 분석 툴과 통신상 연결될 수 있다. 1 이상의 상기 파라미터를 모니터링하고 및/또는 관리함으로써, 제거 조성물의 수명은 연장될 수 있으며, 이는 공정 효율을 최대화시킨다. SPC의 목적은 당업자에 의해 용이하게 결정되는 바와 같이 제거 조성물의 몇몇 파라미터의 상당히 안정한 상태를 유지하는 것이다.
예를 들어, 제거 조성물을 수동 및/또는 자동으로 샘플링할 수 있고, 제거 조성물 중 성분의 농도를 표준 분석 기법을 이용하여 분석하고 제거 조성물의 상기 성분의 초기 농도와 비교할 수 있다. 당업자에 의해 용이하게 결정되는 바와 같이, 분취량의 상기 조성물 용액을 상기 배스에 수동 및/또는 자동으로 첨가하여 상기 성분의 농도를 초기 수준으로 증가시킨다. 제거 조성물 중의 몇몇 성분의 농도의 유지는 제거하려는 물질(들)의 얼마나 많은 투여가 상기 조성물에서 발생하는지에 따라 다르다는 것은 이해되어야 한다. 여기서 더 많은 화합물이 용해되는 바와 같이, 많은 활성 성분의 용해도가 실질적으로 감소하게 되고, 실질적으로 새로운 제거 조성물이 필요하게 된다.
이러한 결론과 관련하여, SPC는 한 양태에서 다성분 유체 조성물 모니터링 및 조성물 관리 시스템에 관한 것이며, 1 이상의 대상 성분에 대해서 적정 및 기타 분석 절차에 의해 성분 분석을 실시하고, 이어서, 컴퓨터 수단을 적용하여 다성분 유체 조성물 중 1 이상의 성분의 상대 양 또는 비율을 측정하고 반응 조절하여 다성분 유체 조성물의 선정된(predetermined) 조성물 특정을 유지한다. SPC 시스템은 바람직하게는 (i) 실시간 방법론을 이용한 다성분 유체의 1 이상의 성분의 농도를 모니터링하도록 구성되고 정렬된 분석기 유닛, 및 (ⅱ) 상기 분석기 유닛의 결과를 앞서 프로그래밍된 세부 사항과 비교하고, 상기 유체를 이용한 가공 시설에서 사용되는 다성분 유체 중 전술된 1 이상의 성분의 선정된 농도를 유지하는데 필요한, 전술한 1 이상의 성분의 다성분 유체로의 분배를 반응 조절하도록 구성되고 정렬된 관리 유닛을 포함한다. 또다른 양태에서, 가공 시설에서 사용되는 다성분 유체를 모니터링하고 조성물 관리하는 SPC 공정이 개시되고, 상기 공정은 1 이상의 대상 성분에 대해 적정 또는 기타 분석 절차에 의해 다성분 유체의 실시간 성분 분석을 실시하는 것, 및 다성분 유체 조성물 중 1 이상의 성분의 상대적인 양 또는 비율을 컴퓨터에 의해 실시간으로 반응 조절하여 상기 유체 이용 가공 시설에서 사용되는 다성분 유체 조성물의 선정된 조성물 특성을 유지하는 것을 포함한다.
예로써, 과산화수소 사용 공정 시설을 포함하는 용도에서 과산화수소를 생성하기 위한 SPC 시스템은 과산화수소를 생성하도록 구성되고 정렬된 전기화학 전지, 및 상기 전기화학 전지로부터 유체를 샘플링하고 이를 분석하기 위한 수단을 포함하는 분석 유닛, 예를 들어 Karl Fischer 분석 유닛을 포함하는 과산화수소 모니터링 및 농도 제어 어셈블리를 포함할 수 있으며, 여기서 과산화수소 모니터링 및 농도 제어 어셈블리는 상기 분석을 기반으로 한 과산화수소 농도의 실시간 측정을 위한 수단을 포함한다. 과산화수소 사용 공정 시설을 포함하는 용도에서 과산화수소를 생성하는 공정은 전기화학 전지에서 과산화수소를 생성하는 것, 및 분석 유닛, 예를 들어 Karl Fischer 분석 유닛에서 과산화수소를 모니터링하는 것, 예컨대 전기화학 전지로부터의 유체의 샘플링 및 이의 분석, 및 상기 분석을 기반으로 한 과산화수소 농도의 실시간 측정을 포함한다.
또다른 예로써, 상기 제어 유닛은 공정 제어기로서 작용하며, 장기간에 걸친 최적의 안정한 공정을 확보하는 용매 성분, 특히 물의 자동 공급을 정확하게 제어하는 데 사용된다. 성분 분석기가 용매 시스템의 상대적인 조성을 측정하는 즉시, 공정 제어기는 올바른 성분비로 상기 시스템을 회복시킬 수 있다. 분석 표적이 된 특정 성분(들)에 대해서 특정 한계치가 상기 공정 제어기에 미리 프로그래밍되어 있다. 상기 성분 분석기로부터의 결과는 상기 세부 항목 한계치와 비교되고, 세부 항목 최소치 이하인 것으로 측정되는 경우, 표적 성분의 양이 상기 용매 용액으로 주입되어 소정의 성분비를 회복할 수 있다. 용매 시스템의 성분비를 선정된 한계치 내로 유지시킴으로써, 용매 혼합물의 효과적인 배스 수명을 연장시킬 수 있다. 따라서, 또다른 양태에서의 SPC는 반려된 마이크로전자 소자 구조물의 재생 및/또는 재작업에 사용되는 조성물의 계 내 모니터링 및 H2O 주입의 수단 및 방법에 관한 것이다. 용액을 분석하고 물 수준을 조절하는 농도 분석 및 용매 공급 시스템을 이용하여, 배스 수명을 100% 이상 증가시킬 수 있다. 이는 (a) 화학물, (b) 화학적 변화의 중단, 및 (c) 화학적 처분 비용의 상당한 절감을 유도한다.
본 및 다른 SPC 실시양태는 Russell Stevens 등의 이름의 U.S. 특허 7,214,537 및 7,153,690에 개시되어 있으며, 이들 둘 모두는 이의 전체로 본 원에서 참조 인용된다.
제거 조성물 중 HF의 분석과 관련하여, 제거 조성물 중 불화물의 농도를 측정하기 위해 (a) HF의 농도를 분석하고 이를 계산하는 데 이용될 수 있는 온도, 전도성, 점도 및 초음파 전달 속도 수치의 조합(예를 들어, Sota 등의 이름의 U.S. 특허 6,350,426 참조); (b) 불화물 이온 선택성 전극; (c) 분광광도법; (d) 보론산 화학을 이용한 측색(colorimetrically) 이용; 및 (e) 보론산 형광단을 이용한 분광형광적 이용(예를 들어, University of Maryand Biotechnology Institute의 이름의 2004년 6월 28일자로 출원된 PCT/US2004/022717 참조)을 포함할 수 있다. H2O2 모니터링 기법은 요오드 또는 과망간산염 적정, 측색 공정, 예컨대 티탄(IV) 염의 산화 및 H2O2 존재 하에 카보나토-코발테이트(Ⅲ) 착물을 형성하는 코발트(Ⅱ) 및 중탄산염의 산화 및 양고추냉이 유도된 퍼옥시다제를 이용한 스코폴레틴 절차를 포함한다.
분석 유닛으로는 비한정적으로 UV-Vis 분광광도법, IR 분광법, 근접 IR 분광법, 형광계, 원자 분광계, 예컨대 유도 결합 플라즈마 분광계 및 원자 흡수 분광계, 적정 유닛, 전기화학 유닛 및 크로마토그래피 유닛을 들 있다.
놀랍게도, 본 발명자는 다중 시간으로 동일한 마이크로전자 소자 구조물이 재생될 수 있고, 예를 들어 물질(들)이 제거되어 기판이 재생되거나 유지하려는 층(들) 이외의 기판이 재생된다는 것을 발견하였다. 예를 들어, 동일한 기판을 가공하여 1 이상의 물질층을 침착시킨 후, 침착되는 물질 및 방법에 따라 2회 이상, 바람직하게는 5회 이상, 더욱 바람직하게는 10회 이상, 가장 바람직하게는 20회 이상 재생할 수 있으며, 상기 재생은 매회 본 원에서 기술된 재생 요건을 만족시킨다. 중요하게는, 재생 공정은 바람직하게는 단일 단계 제거 공정(즉, 제거하려는 모든 물질(들)이 단일 단계에서 단일 조성물을 이용하여 행해짐)이며, 재생 후 평탄화가 이후 공정 이전에 필요하지 않다. 즉, 당업자라면 일부 침착 방법 및 일부 물질이 상기 기재를 손상시키고, 이와 같이 일부 평탄화가 상기 기재를 성공적으로 재생시키는 데 필요할 수 있다는 것이 이해되어야 한다. 평탄화는 기재가 재생될 수 있는 횟수를 제한하는 영향을 보유할 수 있다.
1 이상의 단계가 본 원에서 개시된 1 이상의 제거 조성물을 사용하는 것을 필요로 하는 다단계 제거 공정이 고려된다는 것이 이해되어야 한다. 예를 들어, 제거 공정은 제1 단계가 기재 및 제거하려는 1 이상의 물질을 갖는 마이크로전자 소자를 본 원에서 기술된 제거 조성물과 상기 1 이상의 물질을 상기 마이크로전자 소자로부터 제거하는 데 충분한 시간 및 조건(예를 들어, 본 원에서 개시됨) 하에서 접촉시키는 것, 및 기판을 연마시켜 기재 손상을 제거하는 것(상기 연마 조건은 당업계에 공지되어 있음)을 포함하는 2 단계 공정일 수 있다.
또한, 본 발명자는 놀랍게도 동일한 마이크로전자 소자 구조물이 재작업될 수 있고, 예를 들어 포토레지스트 및 ARC 물질(들)이 10회 이상 마이크로전자 소자 구조물로부터 재거될 수 있다는 것을 발견하였다. 예를 들어, 동일한 구조물을 포토리소그래피 공정 처리한 후, 재생시켜 잘못 위치한 포토레지스트 패턴을 2회 이상, 바람직하게는 5회 이상, 가장 바람직하게는 10회 이상 제거할 수 있으며, 여기서 상기 재작업은 유지하려는 층(들)을 실질적으로 손상시키지 않는다. 또한, 본 발명자는 놀랍게도 마이크로전자 소자 구조물의 이면 및/또는 베벨 엣지가 용이하게 세정될 수 있으며, 예를 들어 중합체 함유 빌드업 및/또는 금속이 당업계에서 사용되는 방법(예를 들어, 물리적 연마, 건식 플라즈마 에칭, 연소 등)에 의지함 없이 마이크로전자 소자 구조물의 이면 및/또는 베벨 엣지로부터 제거된다는 것을 발견하였다.
또한, 본 발명자는 놀랍게도 본 발명의 제거 조성물의 배스의 효과가 약 실온 ∼ 약 60℃ 범위의 온도에서 2 일 이상, 바람직하게는 5 일 이상, 가장 바람직하게는 10 일 이상 지속될 수 있다는 것을 발견하였다. 즉, 약 실온 ∼ 약 60℃ 범위의 온도의 10일된 배스를 사용하여 본 원에서 제공된 요건에 따라 마이크로전자 소자 구조물(이면 및/또는 베벨 엣지)을 성공적으로 재생, 재작업 및/또는 세척할 수 있으며, 단 상기 배스는 제거하려는 물질과 함께 '로딩(loading)'되지 않는다. 본 원에서 정의된 바와 같이, '로딩'된 조성물은 당업자에 의해 용이하게 결정되는 바와 같이, 마이크로전자 소자 구조물로부터 제거하려는 1 이상의 물질(들)을 더이상 용해시키고 및/또는 제거할 수 없는 조성물의 부피에 해당한다. 로딩된 제거 조성물은 제거 조성물, 상기 조성물 중 활성 성분(들)뿐만 아니라 이의 부산물을 이용하여 제거하려는 특정 물질의 비포화, 포화 또는 과포화에 해당할 수 있다.
또다른 놀라운 발견은 용도를 갖는 제거 조성물 배스의 효과였다. 부피가 약 5 L ∼ 약 50 L 범위인 제거 조성물의 로딩된 배스는 당업자에 의해 용이하게 결정될 수 있는 바와 같이, 제거되어야 하는 물질(들)의 층의 수에 따라 300 mm x 750 μm 기판을 갖는 50 이상, 바람직하게는 200 이상, 더욱 바람직하게는 500 이상, 더욱더 바람직하게는 1000 이상, 가장 바람직하게는 2500 이상 반려된 마이크로전자 소자 구조물로부터 제거하려는 물질(들)을 효과적으로 제거하였다.
추가 양태에서, 마이크로전자 소자를 포함하는 물품이 개시되며, 여기서 상기 마이크로전자 소자는 본 원에서 기술된 방법을 이용하여 재생, 재작업, 재순환 및/또는 재사용된 마이크로전자 소자 구조물 또는 마이크로전자 소자 기재를 포함하고, 상기 방법은 저-k 유전체 물질, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층 물질, 포토레지스트, 에칭 후 잔류물, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 제거 조성물을 마이크로전자 소자 구조물과 접촉시키는 것을 포함한다. 재순환되거나 재사용된 마이크로전자 소자 구조물 또는 마이크로전자 소자 기재는 후속하는 마이크로전자 소자 제조 공정에서 그 상부에 침착된 1 이상의 층, 예컨대 저-k 유전체층, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물 층, 규화물 층, 산화물 층, 강유전체층, 배리어층 물질, 도핑된 영역 및 이의 조합 중 1 이상을 이후 포함할 수 있다.
또다른 양태에서, 물품이 기술되며, 여기서 상기 물품은 재작업된 마이크로전자 소자 구조물 또는 재작업된 마이크로전자 소자 기재, 및 저-k 유전체 물질, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층 물질, 포토레지스트, ARC 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 추가 물질층을 포함하고, 여기서 상기 1 이상의 추가 물질층은 재작업 후의 마이크로전자 소자 구조물 또는 기재 상에 침착되었다. 상기 물품은 마이크로전자 소자 구조물 또는 기재와 1 이상의 추가 물질층 사이에 위치하는 중간층을 더 포함할 수 있다.
추가 양태에서, 마이크로전자 소자를 포함하는 물품의 제조 방법이 개시되며, 여기서 상기 마이크로전자 소자는 본 원에서 기술된 방법을 이용하여 재생, 재작업, 재순환 및/또는 재사용된 마이크로전자 소자 구조물 또는 마이크로전자 소자 기재를 포함하고, 상기 방법은 저-k 유전체 물질, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물, 규화물, 산화물, 강유전체, 배리어층 물질, 포토레지스트, 에칭 후 잔류물, ARC 물질, 중합체 함유 빌드업, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 마이크로전자 소자 구조물을 제조 조성물과 접촉시키는 것을 포함한다. 상기 물품의 제조 방법은 1 이상의 층을 재순환되거나 재사용된 마이크로전자 소자 구조물 또는 마이크로전자 소자 기재 상에 침착시키는 것을 더 포함할 수 있으며, 상기 1 이상의 층은 후속 마이크로전자 소자 제조 공정에서 저-k 유전체층, 고-k 유전체 물질, 에칭 정지층, 금속 적층 물질, 질화물 층, 규화물 층, 산화물 층, 강유전체층, 배리어층, 도핑된 영역, 및 이의 조합 중 1 이상을 포함한다.
또다른 양태에서, 본 발명은 마이크로전자 소자 구조물의 이면 및/또는 베벨 엣지의 세척 방법에 관한 것이며, 상기 방법은 질소 기체 및/또는 탈이온수 분무를 이용한 상기 구조물의 전면을 보호하는 툴에 상기 구조물을 위치시키는 것; 및 상기 구조물의 이면 및/또는 베벨 엣지를 제거 조성물과 접촉시키는 것을 포함하고, 여기서 상기 제거 조성물은 마이크로전자 소자 기재의 이면 및/또는 베벨 엣지로부터 중합체 함유 빌드업을 실질적으로 제거한다.
또다른 양태에서, 본 원에서 기술된 조성물을 이용한 마이크로전자 소자의 제조 방법이 개시되며, 여기서 가공 배스의 온도는 감소된다. 현재, 대부분의 설비는 높은 배스 온도에서 마이크로전자 소자를 가공하여 공정 시간을 최소화시킨다. 불행하게도, 높은 배스 온도는 물 및/또는 HF 증발을 증가시켜 배스의 효율을 감소시킨다. 구체적으로, 상기 방법은 물질 제거, 예를 들어 침지, 분무 등 후의 용매, 물 또는 용매/물 혼합물에 의해 고온 세정하여 물질 제거 공정 중에 발생하는 원치 않는 잔류물 빌드업을 제거하는 도중에 제거 조성물의 온도를 낮추는 것에 관한 것이다. 고온 세정 후, 당업자에 의해 용이하게 결정되는 바와 같이 웨이퍼는 임의로 추가 용매에 의해 세정되고(예를 들어, 실온에서); 건조되고(예를 들어, IPA 증기 건조에 의함); 연마되고; 및/또는 추가 공정, 예를 들어 새로운 물질층의 침착을 위해 달리 제조될 수 있다. 바람직하게는, 상기 고온 세정 용매는 물 및/또는 유기 용매, 예를 들어 메탄올, 에탄올, 이소프로판올, 에틸렌 글리콜, 프로필렌 글리콜, 디에틸렌 글리콜 부틸 에테르, 디프로필렌 글리콜 메틸 에테르를 포함한다. 추가 실시양태에서, 메가소닉 또는 교반을 고온 세정과 함께 이용하여 잔류 빌드업의 제거에 일조할 수 있다.
예를 들어, 물질을 상부에 갖는 마이크로전자 소자로부터의 상기 물질의 제거 방법은,
(a) 상기 마이크로전자 소자로부터 1 이상의 물질을 실질적으로 제거하는 데 충분한 시간 동안 제1 온도에서 상기 마이크로전자 소자를 제거 조성물과 접촉시키는 것; 및
(b) 상기 마이크로전자 소자로부터 잔류 빌드업을 실질적으로 제거하는 데 충분한 시간 동안 제2 온도에서 상기 마이크로전자 소자를 세정 조성물과 접촉시키는 것
을 포함할 수 있고,
여기서, 제1 온도 및 제2온도의 차이는 약 40℃ ∼ 약 90℃이다. 예를 들어, 제1 온도는 약 5℃ ∼ 약 30℃ 범위에 있을 수 있고, 제2 온도는 약 45℃ ∼ 약 99℃ 범위에 있을 수 있다. 따라서, 제1 온도는 제2 온도보다 낮다. 물질 제거를 위한 적용 시간은 약 1 분 ∼ 약 60 분, 바람직하게는 약 1 분 ∼ 약 30 분, 가장 바람직하게는 약 1 분 ∼ 약 10 분이며, 바람직한 시간은 제거하려는 층(들)의 두께에 따라 다르다. 고온 세정을 위한 적용 시간은 약 1 분 ∼ 약 60 분, 바람직하게는 1 분 ∼ 약 30 분, 가장 바람직하게는 약 1 분 ∼ 약 10 분이며, 바람직한 시간은 마이크로전자 소자 상의 잔류물 빌드업의 정도에 따라 다르다. 소개한 바와 같이, 메가소닉 또는 교반을 고온 세정 중에 적용하여 잔류물 빌드업의 제조에 일조할 수 있다. 바람직하게는, 상기 고온 세정 조성물은 물을 포함한다. 상기 세정 조성물을 필요한 경우 재순환시킬 수 있지만, 고온 세정은 바람직하게는 매번 새로운 세정 조성물을 사용한다. 마이크로전자 소자는 단일 웨이퍼로서 또는 회분식으로서 가공할 수 있으며, 부분(예를 들어, 단지 부분 (a) 또는 단지 부분 (b) 또는 전체(예를 들어, 부분 (a) 및 부분 (b))로 1 회 이상 반복할 수 있다.
배스 온도를 낮춰 증발을 최소화시키는 대체예는 배스 상에 물질(들)의 층을 포함하여 증발 효과를 최소화시키는 것이다. 특히, 상기 층은 배스의 조성물에 실질적으로 용해하거나 혼합하게 되지 않는 물질 또는 물질들을 포함해야 한다. 예를 들어, 배스 표면에 부유하는, 즉, 배스보다 밀도가 낮은 TEFLON® 코팅된 물질 또는 TEFLON® 물질을 사용하여 배스를 완전히 덮고 증발을 느리게 하여 배스 수명을 증가시킬 수 있다. TEFLON® 코팅된 물질은 경량의 중공 성형체, 예컨대 구형 또는 기타 다각형 성형체를 포함할 수 있다. 상기 성형체는 대칭 또는 비대칭일 수 있다. 대안적으로, TEFLON® 코팅된 물질은 배스, 예를 들어 부유 리드(lid) 상에 용이하게 맞도록 고안된 성형체일 수 있다.
추가 양태에서, 웨이퍼를 가공하는 습식 벤치 툴이 개시되며, 상기 습식 벤치 툴은 3 이상의 배스를 포함하고, 여기서 제1 배스는 제거 조성물을 포함하고, 제2 배스는 세정 조성물을 포함하며, 제3 배스는 상기 제거 조성물 배스 후에, 세정 배스 전에 사용하기 위한 중화 배스를 포함하며, 상기 배스는 침지 후 소자 웨이퍼 상에 잔존하는 제거 조성물의 높은 불화물 함량을 중화시키는 데 유용하다. 이와 같이, 또다른 양태에서, 제거 조성물을 이용한 마이크로전자 소자 구조물로부터의 물질(들)의 실질적인 제거, 완충 세정 단계를 이용한 웨이퍼 표면의 중성화, 및 물에 의한 중화된 웨이퍼의 세정 방법이 개시된다. 바람직한 실시양태에서, 본 양태는 1 이상의 물질을 그 물질(들)을 상부에 갖는 마이크로전자 소자 구조물로부터 제거하는 방법에 관한 것이며, 상기 방법은
마이크로전자 소자로부터 1 이상의 물질을 실질적으로 제거하는 데 충분한 시간 동안 상기 마이크로전자 소자를 제거 조성물과 접촉시키는 것;
제거 조성물을 상부에 갖는 마이크로전자 소자를 중화 조성물과 접촉시켜 상기 마이크로전자 소자 상의 제거 조성물을 중화시키는 것; 및
중화된 제거 조성물을 상부에 갖는 마이크로전자 소자를 세정 용액에 의해 세정하여 중화된 제거 조성물을 이로부터 제거하는 것
을 포함하고, 여기서 상기 물질(들)은 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지층, 금속 적층 물질, 배리어층 물질, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택된다. 바람직하게는, 상기 중화 조성물은 중화된 제거 조성물의 pH가 약 5 ∼ 약 9 범위, 더욱 바람직하게는 약 6 ∼ 약 8 범위, 가장 바람직하게는 약 7인 1 이상의 완충 화학종을 포함한다. 본 원에서 고려되는 완충 화학종으로는 비한정적으로 산업적인 색 코드화된 완충 용액 또는 통상화된 용액, 예를 들어 염기, 예컨대 수산화물, 탄산염, 인산염, 이인산염 등 및 염기/염 혼합물을 들 수 있다. 바람직하게는, 세정 용액은 물을 포함한다.
또다른 양태에서, 재생 공정 중에 마이크로전자 소자 기재의 피팅을 실질적으로 제거하는 다단계 제거 공정이 개시된다. 다단계 공정은 금속(들)을 제거하는 1 이상의 단계 및 비금속층(예를 들어, 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지층, 강유전체, 규화물, 질화물, 산화물, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합) 및 배리어층 물질을 제거하는 적어도 또다른 단계를 포함한다. 예를 들어, 금속(들)을 제거하는 단계는 마이크로전자 소자 구조물을 1 이상의 산화제, 1 이상의 킬레이트화제 및 임의로 물을 포함하는 제1 조성물과 접촉시켜 실질적으로 금속(들)이 없는 마이크로전자 소자 구조물을 산출하는 것을 포함할 수 있다. 비금속층(들) 및 배리어층 물질의 제거 단계는 실질적으로 금속(들)이 없는 마이크로전자 소자 구조물을 본 발명의 제거 조성물, 예를 들어 제2 양태 또는 제 5 양태의 제거 조성물 및 산화제가 없는 임의의 다른 제거 조성물과 접촉시켜 마이크로전자 소자 기재를 산출하는 것을 포함할 수 있다. 세정 단계는 금속(들)의 제거 단계와 비금속층(들) 및 배리어층 물질의 제거 단계 사이에 통합될 수 있다는 것이 이해되어야 한다. 또한, 전술한 중화 배스는 비금속층(들) 및 배리어층 물질을 제거하는 단계 이후의 공정에 통합되어 침지 후의 소자 웨이퍼 상에 잔존하는 제거 조성물의 높은 불화물 함량을 중화시킬 수 있다는 것이 이해되어야 한다. 중화 배스가 사용되는지에 대한 여부와 관계 없이, 마이크로전자 소자 기재는 본 원에서 기술되는 바와 같이 재순환 및/또는 재사용을 위해 세정, 건조 및 추가 가공될 수 있다. 상기 가공 단계 각각에 대한 접촉 조건은 본 원에서 기술된다. 예를 들어, 제1 단계 조성물을 사용하여 구리를 제거할 수 있고, HEDP 및 H2O2를 포함할 수 있으며, 제2 제거 조성물을 사용하여 유전체 및 배리어 물질을 제거할 수 있고, 본 원의 제2 또는 제5 양태에서 기술된 조성물을 포함할 수 있다.
제1 조성물 중 성분의 중량 백분율 비율의 범위는 킬레이트화제(들) 대 산화제(들)이 약 0.1:1 ∼ 약 5:1, 바람직하게는 약 0.33:1 ∼ 약 3:1, 가장 바람직하게는 약 0.6:1 ∼ 약 2:1이다.
또다른 양태에서, 다단계 제거 공정을 위한 키트가 개시되며, 상기 키트는 제1 조성물, 제거 조성물 및/또는 중화 조성물을 포함하는 1 이상의 용기를 포함한다. 상기 키트는 재생 공정 중 마이크로전자 소자 기재의 피팅을 실질적으로 제거하기 위해 다단계 제거 공정의 조성물을 어떻게 사용하는지에 대한 지침을 포함할 수 있다. 제1 조성물, 제거 조성물 및/또는 중화 조성물은 그 자신의 개별 용기에서 예비혼합되어 제공될 수 있다. 제1 조성물의 성분은 사용 시 혼합하여(서로 및/또는 물과) 제1 조성물을 제조하기 위한 1 이상의 용기에 제공될 수 있다는 것, 제거 조성물의 성분은 사용 시 혼합하여(서로 및/또는 물과) 제거 조성물을 제조하기 위한 1 이상의 용기에 제공될 수 있다는 것, 및/또는 중화 조성물의 성분은 사용 시 혼합하여(서로 및/또는 물과) 중합 조성물을 제조하기 위한 1 이상의 용기에 제공될 수 있다는 것이 또한 고려된다. 상기 키트의 용기는 화학적으로 등급화되어 이에 함유된 성분(들)을 저장 및 분배해야 한다. 예를 들어, 키트의 용기는 본 원에서 전술한 바와 같은 NOWPak® 용기(어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드, 미국 코너티컷주 댄버리 소재)일 수 있다.
가공 후, 본 원에서 기술된 조성물을 추가 가공하여 제조 시설에서의 폐수 스트림의 화학적 산소 요구량(COD)을 낮출 수 있다. 예를 들어, 유기 용매 및 무기 생물독성 화합물, 예컨대 불화물 둘 모두를 함유하는 혼합된 수성 유기 제제는 (1) 조성물로부터 유기 용매를 '스크럽' 처리하게 되는 1 nm 미만의 폭의 미세공극을 갖는 탄소, 바람직하게는 폴리염화비닐리덴(PVDC) 단일체 탄소, (2) 불화물 이온과 반응하고 존재하는 임의의 산을 중화시킬 수 있는 금속 탄산염, 예컨대 알칼리 또는 알칼리 토금속 탄산염, 및/또는 (3) 불화물 이온과 반응하고 존재하는 임의의 산을 중화시킬 수 있는 규산칼슘, 예컨대 Ca3SiO5·Ca2SiO4·xH2O에 의해 처리될 수 있다. 상기 처리는 순차적이거나 단일 단계 혼합층 접근법일 수 있다. 제조의 폐수 스트림은 COD가 공표된 허용가능 수준으로 낮춰질 때까지 상기 처리(들)에 노출되어야 한다.
본 원에서 기술된 공정은 제거하려는 물질(들)을 효과적으로 제거하지만, 상기 공정은 기존 기재에 존재하는 피트(pit) 및 스크래치와 같은 결함을 평탄화시킬 수 없다. 따라서, 웨이퍼는 상기 결점을 제거하는 평탄화를 거쳐야 할 필요가 있을 수 있다. 전형적으로, 기재의 약 20 ∼ 40 마이크론이 평탄화를 이용한 결점 제거에서 손실되며, 이는 기재가 재사용/재순환될 수 있는 횟수를 제거하기 때문에 많은 제조자에게 허용될 수 없는 손실일 수 있다.
따라서, 또다른 양태에서, 상기 기재는 임의의 층(들)의 침착 이전에 완성될 수 있으며, 여기서 상기 기재는 원래의 기재(에피택셜 Si이 존재 또는 부재) 또는 재생, 재작업, 재순환 및/또는 재사용된 기재(에피택셜 Si 존재 또는 부재)일 수 있다. 따라서, 본 원에서 기술된 방법은 XeF2 증기상 에칭제를 상기 기재에 노출시켜 이에 존재하는 피트 및 스크래치를 제거하는 것을 더 포함할 수 있다. 상기 노출은 기존 기재 상의 임의의 층(들)의 침착 전(즉, 임의의 물질(들)의 침착 전, 및 따라서 재생이 필요하기 전), 또는 재생된 기재 상의 층(들)의 침착 전에 실시할 수 있다. XeF2는 하기 반응에 따라 규소와 반응하며, 여기서 에칭 반응이 기재 표면을 동시에 떠나는 휘발성 SiF4 기체의 형성을 통해 발생한다:
XeF2 (g) + Si (s) ↔ Xe (g) + SiF2 (s)
XeF2 (g) + SiF2 (s) → Xe(g) + SiF4 (g)
XeF2는 증기압이 ∼4 Torr인 실온에서 승화하는 고체이다. 이는 규소와 반응하여 휘발성 SiF4 및 불활성 Xe 부산물을 형성하고, SiO2 및 다른 유전체에 대해서 극도로 선택적이다. 한 실시양태에서, 상기 기재의 완성은 추가 활성화 에너지, 즉, 플라즈마 또는 열적 가열의 존재 하에 기재와 XeF2의 반응을 포함한다. 또다른 실시양태에서, 추가 활성화 에너지가 필요하지 않다.
본 원에서 참조 인용되는 Frank Dimeo 등의 이름의 U.S. 특허 출원 10/973,673에서 소개된 바와 같이 정체 방식, 연속 방식 및/또는 직접 유도 방식을 통해 XeF2 화합물을 세정을 위한 진공 챔버에 전달하는 몇몇 방법이 존재한다.
정체 방식에서, 내부에 상기 화합물을 갖는 도가니 또는 공급원 용기는 챔버와 이들 사이의 밸브에 의해 결합될 수 있다. 세정 중에, 상기 밸브는 개방될 수 있고(수동 및 원격으로), XeF2 증기가 특정 압력을 얻을 때까지 챔버를 채우게 한다. 이어서, 진공 챔버를 봉인할 수 있고, XeF2가 일정 시간 동안 반응하도록 한다. 이어서, 상기 진공 챔버를 비우고, 상기 공정을 필요한 만큼 반복하였다. 온도, 압력, 시간 길이 및 반복 횟수는 당업자에 의해 용이하게 결정되는 실험 파라미터이다. 예를 들어, 초기 범위는 5회 반복될 수 있는 2분의 시간 동안의 0.5 torr의 압력을 포함할 수 있다. 또한, 에칭 중 공급원의 압력은 모니터링되어야 한다. 반응이 진행함에 따라 점진적인 압력 상승이 관찰되게 되며, 이는 상기 반응이 이의 과정을 거치는 동안 평탄역이어야 한다. 상기 도가니를 적절히 가열하여 XeF2의 승화 속도 및 승화 압력을 증가시킬 수 있다.
연속 방식에서, 불활성 담체 기체를 도가니 중 XeF2 상에서 연속으로 흐르도록 조절하여, 안정한 스트림의 XeF2이 진공 챔버로 전달되도록 할 수 있다. 담체 기체의 유속, 도가니의 온도 및 에칭 시간은 당업자에 의해 용이하게 결정되는 실험 파라미터이다.
직접 유도 방식에서, 챔버 내 XeF2 고체 물질의 미리 측정된 양을 진공 챔버에 투입한다. 상기 고체를 이들이 완전히 소진될 때까지 승화시킨다. 세정에 필요한 물질의 양 및 시간은 당업자에 의해 용이하게 결정된다. 마찬가지로, 기계가 분배 방법이 당업자에 의해 용이하게 조작되고 결정가능하다.
또다른 양태에서, DNA 미세배열이라고도 일컬어지는 DNA 칩의, 본 원에서 기술된 제거 조성물을 이용한 재생, 재작업, 재사용 및/또는 재순환의 방법이 개시된다. DNA 칩은 전형적으로 유리 기재 상에서 생성되고, 핵산을 포토리소그래피 기법을 이용하여 이의 상부에 침착시킨다. 이와 같이, DNA 칩이 반려되거나 그렇지 않으면 재생, 재작업, 재사용 및/또는 재순환되지 않는 경우에 스크랩 처리되는 경우가 있게 된다.
본 원의 특징 및 이점은 하기 논의되는 예시적 실시예에 의해 더욱 충분히 도시된다.
실시예 1
국내 및 국제 환경 기준에 준하는 제거 조성물을 제조하기 위해서, 상기 제거 조성물의 HAP인 디에틸렌 글리콜 부틸 에테르 성분을 HAP 목록 상에 없는 용매, 구체적으로 프로필렌 글리콜, 디프로필렌 그릴콜 및 이의 에테르에 의해 치환시켰다. 각각의 제제는 조성물의 총량을 기준으로 20.1 중량%의 HF, 2.2 중량%의 설포란, 21.7 중량%의 비-HAP 목록 용매 및 56 중량%의 물을 포함한다. 상기 조성물은 특정 비-HAP 목록 용매와 함께 표 1에 하기 도시하였다. 각 경우에, Black Diamond(이후, BD, 두께 대략 6,500 Å) 또는 CORAL(두께 대략 22,000 Å)을 포함하는 블랭킷 처리된 웨이퍼를 다량의 조성물에 50℃에서 5 분 동안(달리 주지되지 않는 경우) 침지시키고, 육안 검사하였다.
비-HAP 목록 유기 용매를 포함하는 화학 제제
비-HAP 목록 유기 용매 관찰
디프로필렌 글리콜 메틸 에테르 (제제 RR) BD: 깨끗하지 않음, 일부 잔류물 CORAL: 깨끗하지 않음, 일부 잔류물
에틸렌 락테이트 (제제 SS) BD: 깨끗함, 수세의 의해 제거되는 일부 잔류물 CORAL: 깨끗하지 않음, 일부 잔류물
디프로필렌 글리콜 부틸 에테르 (제제 TT) BD: 깨끗하지 않음, 일부 잔류물 CORAL: 깨끗하지 않음, 일부 잔류물 이성분 상
디프로필렌 글리콜 프로필 에테르 (제제 UU) 실온에서의 BD: 1 분에서 필름 박리되고 10 분에서 용해됨, 표면 깨끗함 50℃에서의 BD: 3 분에서 필름 박리되고 용해됨, 표면 깨끗함 실온에서의 CORAL: 4 분에서 필름 박리되고 용해됨 50℃에서의 CORAL: 1 분에서 필름 박리되고 용해됨, 표면 깨끗함
프로필렌 글리콜 부틸 에테르 (제제 VV) BD: 깨끗하지 않음, 일부 잔류물 CORAL: 깨끗하지 않음, 일부 잔류물 이성분 상
프로필렌 글리콜 (제제 WW) BD: 깨끗하지 않음, 일부 잔류물 CORAL: 1 분에서 필름 박리되고 용해됨, 수세 및 N2 블로우에 의해 제거되는 표면 상의 잔류물
디에틸렌 글리콜 부틸 에테르 (제제 EE) 실온에서의 BD: 1 분에서 필름 박리되고 4 분에서 용해됨, 표면 깨끗함 50℃에서의 BD: 2 분에서 필름 박리되고 용해됨, 표면 깨끗함 실온에서의 CORAL: 2 분에서 필름 박리되고 용해됨 50℃에서의 CORAL: 1 분에서 필름 박리되고 용해됨, 표면 깨끗함
상기 에칭 결과는 디프로필렌 글리콜 프로필 에테르를 포함하는 제제 UU가 Black Diamond 및 CORAL과 같은 저-k 유전체 물질을 제거하는 데 있어서 제제 EE와 동등한 효율을 보여준다는 것을 나타낸다.
실시예 2
산화제(들), 예를 들어 H2O2를 포함하는 제거 조성물이 특정 유기 성분의 존재 하에 상대적으로 불안정할 수 있다는 것이 알려져 있다. 따라서, 사용 시 나머지 성분에 상기 산화제를 첨가하는 것이 흔히 필요하며, 이는 사용자에게 불편할 수 있다. 이와 같이, 본 발명의 제거 조성물에서 더욱 안정하게 되는 H2O2 이외의 산화제를 함께 실험하여 두께가 16,000 Å인 구리를 이를 상부에 갖는 블랭킷 처리된 웨이퍼로부터 제거하는 효율을 측정하였으며, 여기서 웨이퍼는 표 2에서의 용액에 실온 또는 40℃에서 침지시키고 육안으로 검사하였다.
다양한 산화제를 이용한 구리의 제거
산화제 H2O 중 중량% 온도 관찰
H2O2 5 실온 20 분 후에 깨끗하지 않음
과황산암모늄 5 실온 5.5 분 후에 깨끗함
옥손 5 실온 12 분 후에 깨끗함
H2O2 5 40℃ 10 분 후에 깨끗하지 않음
과황산암모늄 5 40℃ 3 분 후에 깨끗함
옥손 5 40℃ 실시되지 않음
에칭 속도의 효율 순서는 H2O2 < 옥손 < 과황산암모늄임을 확인할 수 있다. 따라서, 기타 산화제, 특히 과황산염 및 퍼옥소모노설페이트를 사용자의 필요뿐만 아니라 마이크로전자 소자 구조물 상의 물질(들)에 대한 다양한 산화제의 충격에 따라 H2O2 대신(또는 H2O2와 함께) 사용할 수 있다.
실시예 3
블랭킷 처리된 폴리실리콘을 본 발명의 그린 제제 (G1∼G4)에 침지시키고, 그린 제제 중 폴리실리콘의 에칭 속도가 제제 CC(즉, 그린이 아닌 제제)에 의해 관찰되는 0.9 Å분-1에 비해 약 0.5 Å분-1임을 측정하였다. 또한, 그린 제제에 대한 COD는 제제 CC에 대한 COD보다 약 60배 낮음이 확인된다.
실시예 4
현 저장 수명(배스 수명) 시험을 실시하였고, 이는 HEDP 및 과산화수소를 포함하는 제1 조성물(예를 들어, 실질적으로 피팅을 제거하는 다단계 제거 공정)이 60℃에서 3 주 이상 동안 안정하다는 것을 증명하였다. H2O2 농도는 주마다 시험하고 3 주 후에는 92% H2O2이 잔존하였으며, 이는 제1 조성물이 매우 안정하고 하나의 용액으로서 제조되고 운반될 수 있다는 것을 제시한다.
실시예 5
HF 20.1 중량%; 부틸 카르비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 5 중량%; CDTA 0.15 중량%; HCl (농축) 2 중량% 및 물 48.85 중량%를 포함하는 제제 P1을 제조하고, Si 웨이퍼 상에 코팅된 규산염 유리의 층 상의 15,000 Å의 전기 도금된 Cu로 구성된 예비 스트립 처리된 기재를 이에 40℃에서 10 분 동안 침지시켰다. 또한, 예비 스트립 처리된 기재를 비교 목적으로 동일한 조건 하에서 제제 RR에 침지시켰다. 상기 제제로의 침지 후, 상기 웨이퍼를 물로 세척하고 건조시켰다. 세정 후 분석을 주사 전자 현미경(SEM)을 통해 실시하였다.
1B 및 2B(제제 P1)에 대해 도 1A 및 2A를 참조하면, HCl을 포함하지 않은 제제(RR)(도 1A 및 2A)에 대해서 제제 (P1)이 HCl을 포함하는 경우에(도 1B 및 2B) Si 웨이퍼의 피팅이 상당히 제거된다는 것을 확인할 수 있다. 따라서, 본 원에서 개시된 제거 조성물에 HCl을 포함하는 것이 단일 단계 공정으로 마이크로전자 소자 기재의 피팅을 실질적으로 제거하는 실행가능한 선택이다.
실시예 6
피팅을 실질적으로 제거하는 다단계 제거 공정이 본 원에서 확인된다. 16,000 Å Cu, 250 Å Ta 및 5,000 Å USG로 구성된 웨이퍼를 제1 조성물(이는 실온에서 10 분 동안 40 중량%의 H2O2(50%), 30 중량%의 HEDP(60%) 및 잔류하는 물을 포함함)에 침지시키고, 실온에서 10 분 동안 제제 CC에 침지시켰다. 비교의 목적으로, 동일한 웨이퍼를 실온에서 10 분 동안 제제 G3에 침지시켰다. 세정 후 분석을 주사 전자 현미경(SEM)을 통해 실시하였다. 특히, 현미경 사진은 상기 웨이퍼가 제제 CC를 포함하는 2 단계 공정에 대해서 제제 G3에서 가공된 후에 유사한 표면 조도를 보유한다는 것을 나타낸다.
실시예 7
AURORA, BLACK DIAMOND, CORAL, 불화 실리케이트 유리 (FSG), 초저-k(ULK), TEOS, 열적 산화물(ThOx), 질화규소(SiN), 질화티탄(TiN), 질화탄탈(TaN), 규화코발트(CoSi), 규화니켈(NiSi), 규화텅스텐(WSi), W, Cu, Al, Ti, Ta, 포토레지스트, SiCN 및 SiC의 블랭킷 처리된 필름을 갖는 개별 규소 웨이퍼를 깨끗한 제제 CC 또는 RR을 포함하는 용기에 침지시키고, 에칭 속도를 실온 및 60℃에서 측정하였다. 결과는 하기 표 3에 도시하였다.
물질 제제 CC에서의 에칭 속도 제제 RR에서의 에칭 속도
실온 60℃ 실온 60℃
AURORA > 10,000 > 20,000 > 3,000 > 8,000
BLACK DIAMOND > 10,000 > 20,000 > 2,000 > 3,000
CORAL > 10,000 > 20,000 > 10,000 > 15,000
FSG > 10,000 > 20,000 > 13,000 >20,000
ULK > 10,000 > 20,000 > 2,000 > 3,000
TEOS > 10,000 > 25,000 > 5,000 > 11,000
ThOx > 5,000 > 10,000 > 2,000 > 4,000
SiN 200 800 200 800
TiN 80 400 100 600
TaN 20 60 >600 > 2,500
CoSi > 1,500 > 5,000 > 5,000 > 6,000
NiSi > 200 > 1,000 100 500
WSi 0 0 > 800 > 1,500
W 미분석 미분석 10 > 400
Cu 0 0 > 28,000 > 34,000
Al < 1,000 > 5,000 > 4,000 > 14,000
Ti 미분석 미분석 > 2,000 > 5,000
Ta 150 > 2,500 > 2,000 > 400
포토레지스트 허용 허용 미지 미지
SiCN 0.5 2 0.5 2
SiC 0 0 0 0
따라서, 본 발명이 본 발명의 특정 양태, 특징 및 예시적 실시양태를 참조하여 본 원에서 기술되었지만, 이의 용도는 이와 같이 한정되지 않으며 오히려 수많은 다른 양태, 특징 및 실시양태로 확장되고 이를 포괄하는 것으로 이해되어야 한다. 따라서, 이후 언급되는 청구의 범위는 이의 사상 및 범위 내에 이러한 모든 양태, 특징 및 실시양태를 포함하는 것으로 상응하여 광범위하게 간주되는 것으로 의도한다.

Claims (29)

1 이상의 에칭제, 1 이상의 계면활성제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 산화제, 임의로 1 이상의 염화물 공급원 및 임의로 물을 포함하는 제거 조성물로서, 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 물질을 이 물질을 상부에 갖는 마이크로전자 소자 구조물로부터 제거하는 데 적합한 제거 조성물.
제1항에 있어서, 상기 1 이상의 유기 용매 및 물을 포함하는 제거 조성물.
제1항에 있어서, 1 이상의 킬레이트화제, 1 이상의 산화제, 1 이상의 염화물 공급원 및 물을 포함하는 제거 조성물.
제3항에 있어서, 1 이상의 유기 용매를 더 포함하는 제거 조성물.
제1항 내지 제4항 중 어느 한 항에 있어서, 상기 1 이상의 에칭제는 HF를 포함하는 것인 제거 조성물.
제1항 내지 제4항 중 어느 한 항에 있어서, 상기 1 이상의 계면활성제는 플루오로알킬 계면활성제, 에톡실화 플루오로계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 글리콜 에테르, 폴리프로필렌 글리콜 에테르, 카르복실산 염, 도데실벤젠설폰산 및 이의 염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 중합체, 개질된 실리콘 중합체, 아세틸렌계 디올, 개질된 아세틸렌계 디올, 알킬암모늄 염, 개질된 알킬암모늄 염, 알킬페놀 폴리글리시돌 에테르, 나트륨 알킬 설페이트, 암모늄 알킬 설페이트, 알킬 (C10-C18) 카르복실산 암모늄 염, 나트륨 설포숙시네이트 및 이의 에스테르, 알킬 (C10-C18) 설폰산 나트륨 염, 이음이온성 설포네이트 계면활성제, 브롬화세틸트리메틸암모늄, 황산수소세틸트리메틸암모늄, 암모늄 카르복실레이트, 황산암모늄, 산화아민, N-도데실-N,N-디메틸베타인, 베타인, 설포베타인, 알킬암모니오프로필 설페이트, 폴리에틸렌 글리콜(PEG), 폴리에틸렌 산화물(PEO), 폴리비닐 피롤리돈(PVP), 히드록시에틸셀룰로스(HEC), 아크릴아미드 중합체, 폴리(아크릴산), 카르복실메틸셀룰로스(CMC), 나트륨 카르복실메틸셀룰로스(Na CMC), 히드록시프로필메틸셀룰로스, 폴리비닐피롤리돈 K30, 라텍스 분말, 에틸셀룰로스 중합체, 프로필셀룰로스 중합체, 셀룰로스 에테르, 수용성 수지 및 이의 조합으로 구성된 군으로부터 선택된 화학종을 포함하는 것인 제거 조성물.
제1항 내지 제4항 중 어느 한 항에 있어서, 상기 1 이상의 계면활성제는 나트륨 에틸헥실 설페이트를 포함하는 것인 제거 조성물.
제1항, 제2항 또는 제4항에 있어서, 상기 1 이상의 유기 용매는 알콜, 에테르, 피롤리디논, 글리콜, 카르복실산, 글리콜 에테르, 아민, 케톤, 알데히드, 알칸, 알켄, 알킨, 아미드, 황 함유 용매, 설폰 및 이의 조합으로 구성된 군으로부터 선택된 화학종을 포함하며, 단, 글리콜 및 글리콜 에테르는 에틸렌기를 포함하지 않는 것인 제거 조성물.
제1항, 제2항 또는 제4항에 있어서, 상기 1 이상의 유기 용매는 테트라메틸렌 설폰, 프로필렌 글리콜, 디프로필렌 글리콜, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이의 조합을 포함하는 것인 제거 조성물.
제1항, 제2항 또는 제4항에 있어서, 상기 1 이상의 유기 용매는 테트라메틸렌 설폰을 포함하는 것인 제거 조성물.
제1항, 제3항 또는 제4항에 있어서, 상기 1 이상의 킬레이트화제는 아세틸아세토네이트, 1,1,1-트리플루오로-2,4-펜탄디온, 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온, 포르메이트, 아세테이트, 비스(트리메틸실릴아미드) 사량체, 글리신, 세린, 프롤린, 류신, 알라닌, 아스파라긴, 아스파르트산, 글루타민, 발린, 리신, 시트르산, 아세트산, 말레산, 옥살산, 말론산, 숙신산, 포스폰산, 히드록시에틸리덴 디포스폰산(HEDP), 1-히드록시에탄-1,1-디포스폰산, 니트릴로-트리스(메틸렌포스폰산), 니트릴로트리아세트산, 이미노디아세트산, 에티드론산, 에틸렌디아민, 에틸렌디아민테트라아세트산(EDTA), (1,2-시클로헥실렌디니트릴로)테트라아세트산(CDTA), 요산, 테트라글림, 펜타메틸디에틸렌트리아민(PMDETA), 1,3,5-트리아진-2,4,6-티티올 삼나트륨 염 용액, 1,3,5-트리아진-2,4,6-티티올 트리암모늄 염 용액, 나트륨 디에틸디티오카르바메이트, 치환된 디티오카르바메이트, 황산암모늄, 모노에탄올아민(MEA), Dequest 2000, Dequest 2010, Dequest 2060, 디에틸렌트리아민 펜타아세트산, 프로필렌디아민 테트라아세트산, 2-히드록시피리딘 1-옥시드, 에틸렌디아민 디숙신산, 나트륨 트리포스페이트 펜타 염기 및 이의 조합으로 구성된 군으로부터 선택되는 화학종을 포함하는 것인 제거 조성물.
제1항, 제3항 또는 제4항에 있어서, 상기 1 이상의 킬레이트화제는 포스폰산 유도체를 포함하는 것인 제거 조성물.
제1항, 제3항 또는 제4항에 있어서, 상기 1 이상의 산화제는 과산화수소를 포함하는 것인 제거 조성물.
제1항, 제3항 또는 제4항에 있어서, 상기 1 이상의 염화물 공급원은 염산, 알칼리 금속 염화물, 알칼리 토금속 염화물, 염화암모늄 및 이의 조합을 포함하는 것인 제거 조성물.
제1항, 제3항 또는 제4항에 있어서, 상기 1 이상의 염화물 공급원은 HCl을 포함하는 것이 제거 조성물.
제2항에 있어서, 상기 조성물은 HF, 1 이상의 설폰, 1 이상의 나트륨 에틸헥실 설페이트 계면활성제 및 물을 포함하는 것인 제거 조성물.
제3항에 있어서, 상기 조성물은 HF, HCl, 1 이상의 나트륨 에틸헥실 설페이트 계면활성제, 1 이상의 포스폰산 유도체, H2O2 및 물을 포함하는 것인 제거 조성물.
제17항에 있어서, 1 이상의 설폰 화합물을 더 포함하는 제거 조성물.
제1항 내지 제4항 중 어느 한 항에 있어서, 상기 조성물은 에칭 후 잔류물, 저-k 유전체 물질 잔류물, 고-k 유전체 물질 잔류물, 배리어층 물질 잔류물, 강유전체 잔류물, 질화물 잔류물, 규화물 잔류물, 산화물 잔류물, 중합체 함유 빌드업 잔류물, ARC 물질 잔류물, 도핑된 영역 잔류물, 보조 물질 잔류물 및 이의 조합으로 구성된 군으로부터 선택되는 물질 잔류물을 더 포함하는 것인 제거 조성물.
마이크로전자 소자 기재, 및 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 제거가능한 물질을 포함하는 마이크로전자 소자 구조물을, 상기 마이크로전자 소자 구조물로부터 1 이상의 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에 제1항 내지 제4항 중 어느 한 항의 제거 조성물과 접촉시켜 재순환가능하거나 재사용가능한 마이크로전자 소자 기재를 산출하는 것을 포함하는, 마이크로전자 소자 구조물의 재순환 방법.
제20항에 있어서, 상기 마이크로전자 소자 기재로부터 1 이상의 물질(들)을 제거하는 상기 접촉은 단일 단계로 발생하는 것인 재순환 방법.
제20항에 있어서, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물 질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 보조 물질, 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 침착가능한 물질을 재생된 기재 상에 침착시키는 것을 더 포함하는 재순환 방법.
제20항에 있어서, 상기 접촉은 약 30 초 ∼ 60 분 범위의 시간, 약 20℃ ∼ 약 90℃ 범위의 온도 및 이의 조합으로 구성된 군으로부터 선택되는 조건에서 실시하는 것인 재순환 방법.
제20항에 있어서, 상기 마이크로전자 소자 구조물은 반도체 기판, 태양 전지 (광전지), 평판 디스플레이 및 마이크로전자기계 시스템(MEMS)으로 구성된 군으로부터 선택되는 물품을 포함하는 것인 재순환 방법.
제20항에 있어서, 상기 구조물의 전면은 제거 조성물과의 접촉으로부터 보호되는 것인 재순환 방법.
마이크로전자 소자 기재, 및 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성 된 군으로부터 선택되는 2 이상의 제거가능한 물질을 포함하는 마이크로전자 소자 구조물을, 상기 마이크로전자 소자 구조물로부터 적어도 제1 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에서 제1 제거 조성물과 접촉시키는 것, 및
상기 구조물을, 상기 마이크로전자 소자 구조물로부터 적어도 제2 물질을 실질적으로 제거하는 데 충분한 시간 및 조건 하에 제2항에 따른 제2 제거 조성물과 접촉시켜 재순환가능하거나 재사용가능한 마이크로전자 소자 기재를 산출하는 것
을 포함하는, 마이크로전자 소자 구조물의 재순환 방법.
제26항에 있어서, 상기 제1 제거 조성물은 1 이상의 산화제, 1 이상의 킬레이트화제 및 임의로 물을 포함하는 것인 재순환 방법.
제26항에 있어서, 상기 제1 물질은 금속을 포함하고, 상기 제2 물질은 저-k 유전체 물질을 포함하는 것인 재순환 방법.
1 이상의 용기에 제거 조성물을 형성하기 위한 하기 시약 중 1 이상을 포함하는 키트로서, 상기 제거 조성물은 1 이상의 에칭제, 1 이상의 계면활성제, 임의로 1 이상의 유기 용매, 임의로 1 이상의 킬레이트화제, 임의로 1 이상의 산화제, 임의로 1 이상의 염화물 공급원 및 임의로 물을 포함하고, 에칭 후 잔류물, 저-k 유전체, 고-k 유전체, 에칭 정지 물질, 금속 적층 물질, 배리어층 물질, 강유전체 물질, 규화물 물질, 질화물 물질, 산화물 물질, 포토레지스트, 바닥부 반사방지 코 팅(BARC), 희생 반사방지 코팅(SARC), 중합체 함유 빌드업, 보조 물질, 도핑된 영역 및 이의 조합으로 구성된 군으로부터 선택되는 1 이상의 제거가능한 물질로 구성된 군으로부터 선택되는 물질을 이들 물질을 상부에 갖는 마이크로전자 소자 구조물로부터 제거하는 데 적합한 제거 조성물을 형성하도록 적용되는 것인 키트.
KR1020097022496A 2007-03-31 2008-03-31 웨이퍼 재생을 위한 물질의 스트리핑 방법 KR20100015974A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US90942807P 2007-03-31 2007-03-31
US60/909,428 2007-03-31
US94373607P 2007-06-13 2007-06-13
US60/943,736 2007-06-13

Publications (1)

Publication Number Publication Date
KR20100015974A true KR20100015974A (ko) 2010-02-12

Family

ID=39577853

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097022496A KR20100015974A (ko) 2007-03-31 2008-03-31 웨이퍼 재생을 위한 물질의 스트리핑 방법

Country Status (7)

Country Link
EP (1) EP1975987A3 (ko)
JP (1) JP2010524208A (ko)
KR (1) KR20100015974A (ko)
CN (1) CN101681130A (ko)
SG (1) SG166102A1 (ko)
TW (1) TW200908148A (ko)
WO (1) WO2008121952A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101150857B1 (ko) * 2010-10-22 2012-06-13 주식회사 티씨케이 세라믹 코팅층의 리페어방법
WO2013073793A1 (ko) * 2011-11-17 2013-05-23 주식회사 이엔에프테크놀로지 몰리브덴 합금막 및 인듐 산화막 식각액 조성물
KR20150016430A (ko) * 2013-08-01 2015-02-12 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
KR20160098462A (ko) * 2013-12-20 2016-08-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
KR20170027787A (ko) * 2014-06-30 2017-03-10 엔테그리스, 아이엔씨. 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
KR20170069891A (ko) * 2015-12-11 2017-06-21 동우 화인켐 주식회사 텅스텐막 식각액 조성물
KR20200110429A (ko) * 2018-01-25 2020-09-23 메르크 파텐트 게엠베하 포토레지스트 제거제 조성물

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010537444A (ja) * 2007-10-08 2010-12-02 ビーエーエスエフ ソシエタス・ヨーロピア エッチング剤組成物及び金属Cu/Moのためのエッチング方法
KR20110063845A (ko) * 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
TWI480360B (zh) * 2009-04-03 2015-04-11 Du Pont 蝕刻劑組成物及方法
CN101957563B (zh) * 2009-07-13 2014-09-24 安集微电子(上海)有限公司 一种含氟等离子刻蚀残留物清洗液
DE102010019079A1 (de) * 2010-04-30 2011-11-03 Gp Solar Gmbh Additiv für alkalische Ätzlösungen, insbesondere für Texturätzlösungen, sowie Verfahren zu dessen Herstellung
CN102337102B (zh) * 2010-07-21 2013-06-05 凤凰光学股份有限公司 一种化学研磨去除钢铁件毛刺的工艺方法
CN102010797B (zh) * 2010-12-23 2011-12-28 西安隆基硅材料股份有限公司 硅料清洗剂及硅料清洗的方法
CN102533124A (zh) * 2010-12-31 2012-07-04 上海硅酸盐研究所中试基地 碳化硅衬底用抛光液
CN102115915B (zh) * 2010-12-31 2012-08-22 百力达太阳能股份有限公司 一种单晶硅制绒添加剂以及单晶硅制绒工艺
DE102011000322A1 (de) * 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
JP2012238849A (ja) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc 改良された多結晶テクスチャ化組成物および方法
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
KR101999641B1 (ko) 2011-10-05 2019-07-12 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리/아졸 중합체 억제를 갖는 마이크로일렉트로닉 기판 세정 조성물
JP2013102089A (ja) * 2011-11-09 2013-05-23 Adeka Corp チタン酸鉛系材料用エッチング剤組成物
US9068267B2 (en) * 2012-03-13 2015-06-30 Adeka Corporation Etching liquid composition and etching method
JP6084965B2 (ja) 2012-03-16 2017-02-22 株式会社フジミインコーポレーテッド 研磨用組成物
CN103076725A (zh) * 2013-01-31 2013-05-01 北京七星华创电子股份有限公司 一种去除光刻胶的溶液及其应用
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
CN103839885B (zh) * 2014-03-17 2016-09-07 上海华虹宏力半导体制造有限公司 去除缺陷的方法
CN103972052B (zh) * 2014-05-21 2018-05-04 上海华力微电子有限公司 应用晶边扫描预防线状分布缺陷发生的方法
CN104120040B (zh) * 2014-08-08 2017-08-01 常州时创能源科技有限公司 多晶硅链式制绒设备的清洗液添加剂及其应用
JP6589883B2 (ja) * 2014-11-13 2019-10-16 三菱瓦斯化学株式会社 半導体素子を洗浄するためのアルカリ土類金属を含む洗浄液、およびそれを用いた半導体素子の洗浄方法
TWI585841B (zh) * 2015-02-06 2017-06-01 國立台灣科技大學 基板及其加工方法與裝置
CN104993014B (zh) * 2015-05-27 2017-02-01 东方日升新能源股份有限公司 扩散后不良片的单独返工方法
JP6619956B2 (ja) * 2015-06-17 2019-12-11 日本放送協会 固体撮像素子の製造方法
CN105045051B (zh) * 2015-08-24 2016-06-01 北京中科紫鑫科技有限责任公司 光刻胶的去除方法
US10538846B2 (en) 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
CN107924836B (zh) * 2016-05-26 2021-09-21 南京中云新材料有限公司 一种单晶硅片表面织构化的方法
GB2557682A (en) * 2016-12-15 2018-06-27 saperatec GmbH Method and apparatus for recycling packaging material
US20180371292A1 (en) * 2017-06-21 2018-12-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Buffered cmp polishing solution
CN107357143B (zh) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US10879076B2 (en) * 2017-08-25 2020-12-29 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
CN109988509B (zh) * 2017-12-29 2021-07-09 浙江新创纳电子科技有限公司 一种钽酸锂还原片抛光液及其制备方法和用途
US20190233777A1 (en) * 2018-01-30 2019-08-01 Dow Global Technologies Llc Microemulsion removers for advanced photolithography
JP7294315B2 (ja) 2018-03-02 2023-06-20 三菱瓦斯化学株式会社 アルミナのダメージを抑制した組成物及びこれを用いた半導体基板の製造方法
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
CN109116689A (zh) * 2018-09-19 2019-01-01 珠海特普力高精细化工有限公司 一种环保型有机干膜剥离液
EP3891248A4 (en) 2018-12-03 2022-01-19 FUJIFILM Electronic Materials U.S.A, Inc. ENGRAVING COMPOSITIONS
CN109777393B (zh) * 2019-03-19 2020-04-14 中国石油化工股份有限公司 一种泡沫驱油剂
CN110702490A (zh) * 2019-11-01 2020-01-17 上海申和热磁电子有限公司 一种半导体切片废液中碳化硅的提纯分析方法
KR102192954B1 (ko) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
CN112326631B (zh) * 2020-10-12 2023-11-07 宁波江丰电子材料股份有限公司 一种溶解钨钛合金样品的方法
CN112680288A (zh) * 2020-12-24 2021-04-20 昆山晶科微电子材料有限公司 一种用于清洁半导体芯片洗涤剂及其制备方法
WO2022246356A1 (en) * 2021-05-21 2022-11-24 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
US20230025444A1 (en) * 2021-07-22 2023-01-26 Lawrence Livermore National Security, Llc Systems and methods for silicon microstructures fabricated via greyscale drie with soi release
CN113862480A (zh) * 2021-09-29 2021-12-31 天津绿展环保科技有限公司 一种用于paste罐的工业提取剂、处理方法及处理系统

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53142168A (en) * 1977-05-18 1978-12-11 Toshiba Corp Reproductive use of semiconductor substrate
US4704188A (en) * 1983-12-23 1987-11-03 Honeywell Inc. Wet chemical etching of crxsiynz
JPH05299810A (ja) * 1992-04-21 1993-11-12 Sumitomo Metal Ind Ltd 配線パターン形成用エッチング溶液
JPH07122532A (ja) * 1993-10-26 1995-05-12 Mitsubishi Materials Corp 再生ウェーハの製造方法
ATE402410T1 (de) 1996-03-19 2008-08-15 Daikin Ind Ltd Verfahren zur erfassung von komponentenkonzentrationen einer dreikomponentenmischung und prozess zur kontinuierlichen herstellung von fluorwasserstoff unter nutzung des verfahrens
JPH11150329A (ja) * 1997-11-14 1999-06-02 Sony Corp 半導体素子の製造方法
JPH11288858A (ja) * 1998-01-30 1999-10-19 Canon Inc Soi基板の再生方法及び再生基板
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
JP3189892B2 (ja) * 1998-09-17 2001-07-16 日本電気株式会社 半導体基板の洗浄方法及び洗浄液
JP2000133558A (ja) * 1998-10-22 2000-05-12 Canon Inc 半導体基体の作製方法およびそれにより作製された基体
JP2002071927A (ja) * 2000-08-29 2002-03-12 Sony Corp カラーフィルタ基板の再生方法
JP2002270801A (ja) * 2001-03-13 2002-09-20 Canon Inc 半導体基板の製造方法及び半導体基板
US7214537B2 (en) 2001-10-08 2007-05-08 Advanced Technology Materials, Inc. Real-time component monitoring and replenishment system for multicomponent fluids
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7153690B2 (en) 2002-10-04 2006-12-26 Advanced Technology Materials, Inc. Real-time component monitoring and replenishment system for multicomponent fluids
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
JP2004170538A (ja) * 2002-11-18 2004-06-17 Nippon Zeon Co Ltd レジスト剥離液
JP4085262B2 (ja) * 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
JP4159929B2 (ja) * 2003-05-28 2008-10-01 花王株式会社 レジスト用剥離剤組成物
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
ATE520661T1 (de) 2003-06-27 2011-09-15 Univ Maryland Heterocyclische verbindungen mit quaternärem stickstoff zum nachweis von wässrigen monosacchariden in physiologischen flüssigkeiten
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
DK1789527T3 (da) * 2004-08-03 2010-03-08 Mallinckrodt Baker Inc Rensningssammensætninger til mikroelektroniksubstrater
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
TW200709294A (en) * 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
TW200918664A (en) * 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101150857B1 (ko) * 2010-10-22 2012-06-13 주식회사 티씨케이 세라믹 코팅층의 리페어방법
WO2013073793A1 (ko) * 2011-11-17 2013-05-23 주식회사 이엔에프테크놀로지 몰리브덴 합금막 및 인듐 산화막 식각액 조성물
KR101349975B1 (ko) * 2011-11-17 2014-01-15 주식회사 이엔에프테크놀로지 몰리브덴 합금막 및 인듐 산화막 식각액 조성물
KR20150016430A (ko) * 2013-08-01 2015-02-12 동우 화인켐 주식회사 망상형 고분자 용해용 조성물
KR20160098462A (ko) * 2013-12-20 2016-08-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
KR20170027787A (ko) * 2014-06-30 2017-03-10 엔테그리스, 아이엔씨. 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
KR20170069891A (ko) * 2015-12-11 2017-06-21 동우 화인켐 주식회사 텅스텐막 식각액 조성물
KR20200110429A (ko) * 2018-01-25 2020-09-23 메르크 파텐트 게엠베하 포토레지스트 제거제 조성물

Also Published As

Publication number Publication date
CN101681130A (zh) 2010-03-24
WO2008121952A1 (en) 2008-10-09
TW200908148A (en) 2009-02-16
JP2010524208A (ja) 2010-07-15
EP1975987A2 (en) 2008-10-01
EP1975987A3 (en) 2011-03-09
SG166102A1 (en) 2010-11-29

Similar Documents

Publication Publication Date Title
KR20100015974A (ko) 웨이퍼 재생을 위한 물질의 스트리핑 방법
TWI485110B (zh) 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用
US20100112728A1 (en) Methods for stripping material for wafer reclamation
WO2008157345A2 (en) Wafer reclamation compositions and methods
TWI513799B (zh) 用於回收具有低k介電材料之半導體晶圓的組成物及方法
CN110777381B (zh) 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR101891363B1 (ko) 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
KR100823461B1 (ko) 실리콘산화막 및 실리콘질화막 식각용 식각액 조성물
CN100499018C (zh) 用于从物体的微结构中清除残余物的方法和组合物
KR20100014916A (ko) TiSiN의 선택적 제거를 위한 조성물 및 공정
US20120042898A1 (en) Compositions and method for the removal of photoresist for a wafer rework application
TW200846462A (en) Liquid cleaner for the removal of post-etch residues
TWI434149B (zh) 洗淨用組成物、半導體元件之製法
KR102375342B1 (ko) Tin 풀-백 및 클리닝 조성물
TW201730326A (zh) 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑
KR20230056740A (ko) 세정 조성물

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid