TWI485110B - 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用 - Google Patents

使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用 Download PDF

Info

Publication number
TWI485110B
TWI485110B TW098133617A TW98133617A TWI485110B TW I485110 B TWI485110 B TW I485110B TW 098133617 A TW098133617 A TW 098133617A TW 98133617 A TW98133617 A TW 98133617A TW I485110 B TWI485110 B TW I485110B
Authority
TW
Taiwan
Prior art keywords
acid
ammonium
polymer
removal composition
combinations
Prior art date
Application number
TW098133617A
Other languages
English (en)
Other versions
TW201022148A (en
Inventor
Michael B Korzenski
Ping Jiang
Charles Beall
Mick Bjelopavlic
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW201022148A publication Critical patent/TW201022148A/zh
Application granted granted Critical
Publication of TWI485110B publication Critical patent/TWI485110B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/78Neutral esters of acids of phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0026Low foaming or foam regulating compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02032Preparing bulk and homogeneous wafers by reclaiming or re-processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Description

使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用
本發明概言之係關於將材料層(例如,低-k介電質)自其上具有該材料之基板或物件移除以回收、再加工、再循環利用及/或再使用該基板或物件之方法,且係關於使用其製造之產品。
隨著裝置尺寸降低,對與高密度、超大規模積體(ULSI)半導體佈線相關性能逐漸增加之需求日益需要使用低介電常數(低-k)絕緣層以增加信號傳輸速度。
典型低-k材料包括使用市售前體沈積之碳摻雜氧化物(CDO),例如SiLKTM 、AURORATM 、CORALTM 、或黑金剛石(BLACK DIAMOND)TM ,例如使用專有黑金剛石TM 方法。該等CDO通常使用化學蒸氣沈積(CVD)方法自有機矽烷及有機矽氧烷前體形成。CVD碳摻雜氧化物低-k介電質通常由整體介電常數小於約3.2之多孔低密度材料構成,且通常藉由在已形成之其他半導體結構(例如金屬互連線及通孔)內形成多個CDO層來用於各種半導體結構中。舉例而言,CDO可用作介電絕緣層(金屬間介電(IMD)層)、覆蓋層及/或某些結構之間隙填充材料。
經常地,在多層裝置製造製程或鑒定製程期間在不可接受的層處理之後,微電子裝置晶圓(例如矽半導體晶圓)必須廢棄。可能出現許多處理問題,例如,層之不均勻沈積或後續蝕刻誤差。在選定處理步驟之後實施許多品質控制測試方法,由此可能使可接受的半導體晶圓因各種原因而被拒絕並「廢棄」,此導致重大的非生產成本。除不合格晶圓以外,測試晶圓通常由於不能回收或再循環利用某些膜類型而被廢棄。測試晶圓支出在工廠的前三大材料費用中。
現有技術實踐已將不合格或廢棄的製程晶圓送回至晶圓供應商用以處理,藉此使用化學及機械方法自半導體晶圓移除材料層(例如,介電層,例如CDO層)用以再使用該晶圓。成功移除介電層及上覆於晶圓之其他特徵之後,使晶圓在新的多層半導體裝置製造製程中再循環利用或再使用。隨著半導體晶圓製造向較大直徑晶圓(例如12英吋晶圓)之轉移,廢棄及再循環利用出廠製程晶圓由於高非生產性成本而日益而變得越來越缺乏吸引力。
本文揭示經改良組合物及方法,藉此至少一種材料(例如,金屬堆疊材料、蝕刻終止層、光阻劑、障壁層、及/或包括高-k及低-k層在內的介電層)可自微電子裝置結構移除以回收、再加工、再循環利用、及/或再使用該等結構,由此使組合物及方法與現有製造方法及組份相容。下伏裝置基板(例如,矽)較佳不會被該移除組合物損壞。較佳地,使用該等組合物自微電子裝置移除材料(例如,低-k介電質層)之方法可在單一步驟中實施,且因此不需要高能耗的氧化步驟。
除移除材料層同時使對下伏基板材料之損壞降至最低以外,本發明之組合物可經調配以符合當地環境要求。例如,高氟化物濃度及高有機溶劑濃度可使得組合物由於廢水處置問題而難以用於大量製造中。視調配物之化學需氧量(COD)之值(其中溶液之COD係在強氧化劑之存在下在酸性條件下可完全氧化成二氧化碳之有機化合物量之量度)而定,可能不允許調配物存於設備廢水中而直接返回至環境中。舉例而言,在瑞士(Switzerland),廢水樣品之COD必須降至200與1000mg/L之間廢水或工業水才能返回至環境中(Pupunat,L.、Sollberger,F.、Rychen,P.,「Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters,」http://www.csem.ch/corporate/Report2002/pdf/p56.pdf)。
若廢水僅含有氟化物源(無有機溶劑),則可使用氟化物處理系統來首先從廢水中移除氟化物,且然後可將水排放至環境中。若廢水僅含有有機溶劑(無氟化物源),可使用有機處置系統(例如焚化爐)。不利地是,焚化系統不能接受含高氟化物濃度之廢水樣品,此乃因氟化物源可損壞焚化爐構造材料。
因此,除提供用於自微電子裝置結構移除至少一種材料用以回收、再加工、再循環利用、及/或再使用該等結構之經改良組合物及方法以外,該組合物及/或使用該組合物之方法較佳符合與該組合物之處置有關的當地規定標準。
本文揭示組合物及方法,其中該等組合物及方法用於將至少一種材料(例如,介電及/或其他材料層)自其上具有該材料之微電子裝置結構移除用以回收、再加工、再循環利用、及/或再使用該微電子裝置結構,且揭示使用移除組合物之方法及使用其製造之產品或中間產品。
在一個態樣中,揭示一種移除組合物,該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、視情況至少一種有機溶劑、視情況至少一種螯合劑、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種消泡劑、及視情況水。
在另一態樣中,揭示一種移除組合物,該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、水及視情況至少一種消泡劑。
在又一態樣中,揭示一種移除組合物,該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、水及至少一種消泡劑,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合。
在再一態樣中,揭示再循環利用微電子裝置結構之方法,該方法包含:使微電子裝置結構與移除組合物在足以實質上自該微電子裝置結構移除至少一種材料之條件下接觸足夠時間以獲得可再循環利用或可再使用之微電子裝置基板,該微電子裝置結構包含微電子裝置基板及至少一種選自由以下組成之群之可移除材料:蝕刻後殘餘物、低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物材料、氮化物材料、氧化物材料、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、含聚合物之累積物、其他材料、經摻雜區域、及其組合,其中該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、視情況至少一種有機溶劑、視情況至少一種螯合劑、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種消泡劑、及視情況水。在較佳實施例中,該移除組合物包含至少一種消泡劑,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合。
在再一態樣中,揭示一種套組,該套組在一或多個容器中包含一或多種用於形成移除組合物之以下試劑,其中該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、視情況至少一種有機溶劑、視情況至少一種螯合劑、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種消泡劑、及視情況水,其中該套組適於形成適用於將至少一種可移除材料自其上具有該材料之微電子裝置結構移除的移除組合物,該可移除材料選自由以下組成之群:蝕刻後殘餘物、低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物材料、氮化物材料、氧化物材料、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、含聚合物之累積物、其他材料、經摻雜區域、及其組合。
根據隨後之揭示內容及隨附申請專利範圍可更全面地瞭解本發明之其他態樣、特徵及實施例。
本發明概言之係關於移除組合物及方法,該等組合物及方法用於將至少一個材料層(例如,介電材料(高-k及/或低-k)、金屬堆疊材料、蝕刻終止層、障壁層材料、矽化物、鐵電材料、光阻劑、抗反射塗層、蝕刻後殘餘物等)自其上具有該材料之微電子裝置結構移除用於回收、再加工、再循環利用及/或再使用該微電子裝置結構。該回收、再加工、再循環利用、及/或再使用可在廠外或廠內實施。
「微電子裝置」對應於經製造用於微電子、積體電路或電腦晶片應用中之半導體基板、平板顯示器、相變記憶裝置、太陽能面板及包括太陽能基板之其他產品、光電伏打、及微機電系統(MEMS)。應理解,術語「微電子裝置」、「微電子基板」及「微電子裝置結構」並非意欲以任何方式加以限制且包括最終將成為微電子裝置或微電子總成之任何基板或結構。微電子裝置可為圖案化毯覆之對照及/或測試裝置。「不合格微電子裝置」結構意欲描述根據本發明之方法可回收、再加工及/或清潔之所有微電子裝置。
該「微電子裝置結構」包括其上具有至少一種材料之「微電子裝置基板」,其中該至少一種材料組成上或晶體明顯地不同於該微電子裝置基板。如本文所定義,「微電子裝置基板」對應於任何基板,其包括(但不限於):裸矽;多晶矽;鍺;III/V化合物,例如氮化鋁、氮化鎵、砷化鎵、磷化銦;鈦鐵礦;II/IV化合物;II/VI化合物,例如CdSe、CdS、ZnS、ZnSe及CdTe;碳化矽;藍寶石;藍寶石上矽;碳;經摻雜玻璃;未經摻雜的玻璃;金剛石;GeAsSe玻璃;多晶矽(經摻雜或未經摻雜);單晶矽(經摻雜或未經摻雜);非晶矽、二硒化銅銦(鎵);及其組合。「材料」或「材料層」可包括(但不限於)至少一種選自由以下組成之群之物質:經摻雜之磊晶矽、未經摻雜之磊晶矽、蝕刻後殘餘物、低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物、氮化物、氧化物、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、含聚合物之累積物、其他材料、經摻雜區域、及其組合。該等材料層中之至少一者可經至少一種離子植入離子(例如硼、磷及砷)摻雜。如本文所定義,「其他材料」包括含鉬的材料、含鑭的材料、含銠的材料、含錳的材料(例如MnOx )、碳奈米管、SrTiO3 、ZrO2 、YVO4 、LiNbO3 、TeO3 、及其組合。
本文所用「約」意欲對應於所述值±5%。
如本文所定義,「低-k介電材料」對應於在分層微電子裝置中用作介電材料之任何材料,其中該材料具有小於約4.0之介電常數。較佳地,低-k介電材料包括低極性材料,例如氧化矽、含矽之有機聚合物、含矽之混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、SiCOH、及碳摻雜之氧化物(CDO)玻璃。應瞭解,低-k介電材料可具有各種密度及各種多孔性。
如本文所定義,「金屬堆疊材料」及「金屬」對應於微電子裝置上之鉭、氮化鉭、氮化鈦、鈦、鎳、鈷、鎢、氮化鎢、及上述金屬之矽化物;含銅層;含鋁層;Al/Cu層;Al合金;Cu合金;含鈷層,例如CoWP及CoWBP;含金層;Au/Pt層;氧化鉿;氧矽酸鉿;氧化鋯;氧化鑭;鈦酸鹽;其氮摻雜之類似物;釕;銥;鎘;鉛;硒;銀;MoTa;及其組合與鹽。
如本文所定義,「高-k介電」材料對應於:氧化鉿(例如,HfO2);氧化鋯(例如,ZrO2 );氧矽酸鉿;矽酸鉿;矽酸鋯;矽酸鈦;氧化鋁;其鑭摻雜之類似物(例如,LaAlO3 );矽酸鋁;鈦酸鹽(例如,Ta2 O5 );鉿與矽之氧化物及氮化物(例如,HfSiON);其鑭摻雜之類似物(例如,HFSiON(La));鈦酸鋇鍶(BST);鉿與鋁之氧化物(例如,Hfx Aly Oz );鈦酸鍶(SrTiO3 );鈦酸鋇(BaTiO3 );及其組合。
如本文所定義,「障壁層材料」對應於此項技術中用以密封金屬線(例如,銅互連)以將該金屬(例如,銅)至介電材料之擴散降至最低之任何材料。較佳障壁層材料包括富矽氮化物、富矽氧氮化物、鉭、鈦、釕、鉿、鎢、及其他難熔金屬及其氮化物與矽化物。
如本文所定義,「鐵電材料」包括(但不限於):鈦酸鋇(BaTiO3 );鈦酸鉛(PbTiO3 );鋯鈦酸鉛(PZT);鋯鈦酸鉛鑭(PLZT);鈮酸鉛錳(PMN);鈮酸鉀(KNbO3 );鈮酸鉀鈉(Kx Na1-x NbO3 );鉭鈮酸鉀(K(Tax Nb1-x )O3 );鈮酸鉛(PbNb2 O6 );鈦酸鉍(Bi4 Ti3 O12 );鈮酸鉛鉍(PbBi2 Nb2 O9 );鈮酸鋰(LiNbO3 );鉭酸鋰(LiTaO3 );鉭酸鍶鉍;鈮酸鍶鉍;鉭酸鍶;鈦酸鍶;及其組合與鹽。
如本文所定義,「蝕刻終止層」包括碳化矽(SiC)、氮化矽碳(SiCN)、氧化矽碳(SiCO)、氧氮化矽(SiON)、銅、矽鍺(SiGe)、SiGeB、SiGeC、AlA、InGaP、InP、InGaA、及其組合與鹽。
如本文所定義,「氧化物」包括在該等其他層中所定義之任何氧化物化合物以及壓電材料(例如(Pb,Sr)(Zr,Ti)O3 )、熱電材料(例如(Pb,Ca)(Zr,Ti)O3 )、超導體(例如YBCO)、電極(例如氧化銦錫)、熱障壁材料(例如ZrO2 、CeO2 、Y2 O3 、MgO、Al2 O3 、及SiO2 )、光學塗層(例如TiO2 、Ta2 O5 、Y2 O3 、及Sc2 O3 )、及導電膜(例如La(1-x) Srx Ga(1-y) My O3 (其中M=Fe、Co、Ni)、La(1-x) Srx MnO3 、及La(1-x) Cax MnO3 )。
如本文所定義,「含聚合物之累積物」對應於在製造期間累積於微電子裝置基板之背側及斜邊緣上之材料且包括沈積於微電子裝置該點之任何材料,其包括(但不限於)低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物、氮化物、氧化物、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、其他材料、摻雜劑、及其組合。
如本文所用,「回收」微電子裝置結構對應於實質上移除至少一種毗鄰欲保留層之材料而不會實質上損壞該(等)欲保留層,其中欲移除之該(等)材料包括(但不限於)蝕刻後殘餘物、蝕刻終止層、金屬堆疊材料、障壁層材料、鐵電材料、矽化物、氮化物、氧化物、介電質(低-k及/或高-k)、含聚合物之累積物、經摻雜區域(不包括經摻雜之磊晶層)、及其組合。欲保留之該(等)層選自由微電子裝置基板、經摻雜之磊晶矽、未經摻雜之磊晶矽、蝕刻終止層、金屬堆疊材料、障壁層材料、鐵電材料、矽化物、氮化物、介電質(低-k及/或高-k)、經摻雜區域、及其組合組成之群。回收可在廠外或在廠內實施。應瞭解,欲移除之材料與欲保留之層不能為同一物質。舉例而言,欲移除之材料可包括低-k介電材料且欲保留之層可為微電子裝置基板。熟悉此項技術者應瞭解,使用本揭示內容可確定可使用何種組合物及方法以在保留特定層的同時移除特定材料。
如本文所定義,「實質上移除(substantial removal或substantially remove)」對應於移除至少90wt.%的期望移除之材料、更佳地至少95wt.%、甚至更佳地至少97wt.%、甚至更佳地至少98wt.%、且最佳至少99wt.%。
如本文所用,「再加工」微電子裝置結構對應於在微影顯影且未通過品質控制測試之後實質上移除光阻劑材料、抗反射塗層(ARC)、含聚合物之累積物、蝕刻後殘餘物、電鍍銅、及其組合中之至少一者。或者,再加工包括移除微電子裝置結構之背側及/或斜邊緣上之含聚合物之累積物。再加工可在廠外或在廠內實施。再加工之後,微電子裝置結構可重新塗佈、烘烤並根據此項技術中習知之光微影技術重新圖案化。
如本文所定義,「再循環利用」定義為在如本文所述移除材料之後回收並重新使用或再加工並重新使用微電子裝置之保留層。舉例而言,再循環利用微電子裝置可重新引入製造處理流中,可用作對照或測試裝置,或可用於非相關製程或非相關產品中。
如本文所定義,「實質上消除」坑蝕係指與使用此項技術已知之移除組合物通常所觀察到之坑蝕相比坑蝕減少。較佳地,坑蝕之程度小於使用其他移除組合物所觀察到坑蝕的10%、更佳地小於5%、且最佳小於2%。
應理解,欲回收之微電子裝置結構包括選自由以下組成之群之基板:裸矽;多晶矽;鍺;III/V化合物,例如氮化鎵、砷化鎵、磷化銦;鈦鐵礦;II/IV化合物;II/VI化合物,例如CdSe、CdS、ZnS、ZnSe及CdTe;碳化矽;藍寶石;藍寶石上矽;碳;經摻雜玻璃;未經摻雜的玻璃;金剛石;GeAsSe玻璃;及其組合,且可為此項技術中習用之任何直徑或厚度。舉例而言,此項技術中習用之基板直徑包括200mm、300mm、4英吋、6英吋、且在未來450mm。300mm基板之厚度為750μm,與300mm基板相比,其他基板之厚度與直徑成正比。
成功回收之要求包括(但不限於)零或可忽略的前側、斜邊緣、及/或背側矽坑蝕;0.25μm的粒子少於25個,0.12μm的粒子少於50個或0.09μm的粒子少於100個,總厚度變化(TTV)小於約5μm,表面金屬污染小於1×1010 個原子cm-2 ;及/或所回收基板之厚度(無任何其他保留層)在初始基板厚度的5%以內,較佳在2%以內,且最佳在1%以內。如本文所定義,「總厚度變化」對應於微電子裝置晶圓之最大厚度與最小厚度間之絕對差異,如使用此項技術中習知之厚度掃描或一系列點厚度量測所確定。
成功晶圓再加工之要求包括(但不限於)將光阻劑、含聚合物之累積物、及/或電鍍銅自裝置基板之最外邊緣及背側實質上移除而不會實質上損壞欲保留之層,此在後續處理期間減少粒子及金屬污染。
移除組合物可體現為眾多種特定調配物,如下文中更全面地闡述。
在所有該等組合物中,組合物之特定組份皆參照包括零下限在內之重量百分比範圍來論述,因而應理解,在組合物之各特定實施例中可存在或不存在該等組份,且在存在該等組份之情況下,以使用該等組份之組合物之總重量計,該等組份可以低至0.001重量%之濃度存在。
在一個態樣中,闡述符合國內及國際環境標準之移除組合物(所謂的」綠色」移除組合物)。二乙二醇丁醚及其他含伸乙基之溶劑係HAP化學品且可危害環境。舉例而言,二乙二醇丁醚具有極高的化學需氧量(COD)值,該值係每升溶液所消耗氧的質量。由於二乙二醇丁醚之高COD值,在各個國家其已經被禁止或限制至極低含量。
第一態樣之「綠色」或「環境友好」移除組合物可包括蝕刻劑源、至少一種界面活化劑、視情況水、視情況至少一種有機溶劑、視情況至少一種有機酸、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種螯合劑、及視情況至少一種消泡劑,該等基於組合物之總重量以以下範圍存在:
第一態樣之「綠色」移除組合物可包含至少一種蝕刻劑、至少一種界面活化劑、視情況水、視情況至少一種有機溶劑、視情況至少一種有機酸、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種螯合劑、及視情況至少一種消泡劑,由該等構成或基本上由該等構成。通常,蝕刻劑源、界面活化劑、可選水、可選有機溶劑、可選有機酸、可選氧化劑、視情況氯化物源、可選螯合劑、及可選消泡劑相對於彼此之特定比例及量可適當改變以對選自由以下組成之群之材料提供合意的組合物移除作用:蝕刻後殘餘物、低-k介電材料、高-k介電材料、障壁層材料、鐵電材料、氮化物、矽化物、氧化物、含聚合物之累積物、ARC材料、經摻雜區域、其他材料、及其組合;及/或處理設備,如熟悉此項技術者無需過多努力即可容易地確定者。在較佳實施例中,第一態樣之「綠色」移除組合物實質上沒有胺。本文所定義,「實質上沒有」對應於以該組合物之總重量計小於組合物之約1wt.%、更佳小於0.5wt.%、且最佳小於0.1wt.%。
當用去離子水20:1稀釋時,第一態樣之「綠色」移除組合物的pH值在約0至約7、更佳地約2.5至約4.5、最佳約3至約3.5之範圍內。
蝕刻劑可包括(但不限於)氟化物、胺及/或氫氧化物鹽,其包括以下至少一種:氟化氫(HF);二氟化氙(XeF2 );氟化銨(NH4 F);四烷基氟化銨(NR4 F);烷基氟化氫(NRH3 F);二氟化氫銨(NH5 F2 );二烷基氟化氫銨(NR2 H2 F);三烷基氟化氫銨(NR3 HF);三烷基三氟化氫銨(NR3 :3HF);無水氟化氫吡啶錯合物;無水氟化氫三乙胺錯合物;胺氟化氫錯合物,其中R可彼此相同或不同且選自由直鏈或具支鏈C1 -C6 烷基組成之群(例如,甲基、乙基、丙基、丁基、戊基、己基),且其中該胺包括直鏈或具支鏈C1 -C20 烷基胺、經取代或未經取代之C6 -C10 芳基胺、乙二醇胺、烷醇胺及胺-N-氧化物,其包括(但不限於):吡啶;2-乙基吡啶;2-甲氧基吡啶及其衍生物,例如3-甲氧基吡啶;2-甲基吡啶;吡啶衍生物;二甲基吡啶;六氫吡啶;哌嗪;三乙胺;三乙醇胺;乙胺、甲胺、異丁胺、第三丁基胺、三丁胺、二丙胺、二甲胺、二乙二醇胺;單乙醇胺;吡咯;異噁唑;1,2,4-三唑;聯吡啶;嘧啶;吡嗪;噠嗪;喹啉;異喹啉;吲哚;咪唑;N-甲基嗎啉-N-氧化物(NMMO);三甲胺-N-氧化物;三乙胺-N-氧化物;吡啶-N-氧化物;N-乙基嗎啉-N-氧化物;N-甲基吡咯啶-N-氧化物;N-乙基吡咯啶-N-氧化物;1-甲基咪唑;二異丙胺;二異丁胺;苯胺;苯胺衍生物;及其組合。或者,蝕刻劑可包含氫氧化物鹽,其包括(但不限於)鹼金屬氫氧化物、鹼土金屬氫氧化物、四級胺氫氧化物、及其組合。較佳地,蝕刻劑包含氟化氫。
所預期之界面活化劑包括陰離子、陽離子(基於四級銨陽離子)及/或兩性離子界面活化劑。舉例而言,適宜非離子界面活化劑可包括氟烷基界面活化劑、乙氧基化氟界面活化劑、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸鹽、十二烷基苯磺酸或其鹽、聚丙烯酸酯聚合物、二壬基苯基聚氧乙烯、聚矽氧或經改良聚矽氧聚合物、乙炔系二醇或經改良乙炔系二醇、烷基銨或經改良烷基銨鹽、及烷基酚聚縮水甘油醚、以及包含上述至少一種之組合。在較佳實施例中,非離子界面活化劑可為乙氧基化氟界面活化劑,例如ZONYLFSO-100氟界面活化劑(DuPont Canada公司,Mississauga,Ontario,Canada)。本發明組合物中預期之陰離子界面活化劑包括(但不限於)氟界面活化劑,例如ZONYLUR及ZONYLFS-62(DuPont Canada公司,Mississauga,Ontario,Canada);烷基硫酸鈉,例如乙基己基硫酸鈉(NIAPROOF08)、烷基硫酸銨、烷基(C10 -C18 )羧酸銨鹽、磺基琥珀酸鈉及其酯,例如,磺基琥珀酸二辛酯鈉、(C10 -C18 )磺酸烷基酯鈉鹽;及二陰離子磺酸鹽界面活化劑DowFaxTM (The Dow Chemical公司,Midland,Mich.,USA),例如烷基二苯基氧化物二磺酸鹽DowFaxTM 3B2。預期之陽離子界面活化劑包括烷基銨鹽,例如十六烷基三甲基溴化銨(CTAB)及十六烷基三甲基硫酸氫銨。適宜兩性離子界面活化劑包括羧酸銨、硫酸銨、胺氧化物、N-十二烷基-N、N-二甲基甜菜鹼、甜菜鹼、磺基甜菜鹼、烷基銨基丙基硫酸鹽、及諸如此類。或者,界面活化劑可包括水溶性聚合物,其包括(但不限於):聚乙二醇(PEG)、聚環氧乙烷(PEO)、聚丙二醇(PPG)、聚乙烯基吡咯啶酮(PVP)、陽離子聚合物、非離子聚合物、陰離子聚合物、羥乙基纖維素(HEC)、丙烯醯胺聚合物、聚(丙烯酸)、羧甲基纖維素(CMC)、羧甲基纖維素鈉(NaCMC)、羥丙基甲基纖維素、聚乙烯基吡咯啶酮K30、BIOCARETM 聚合物、DOWTM 乳膠粉(DLP)、ETHOCELTM 乙基纖維素聚合物、KYTAMERTM PC聚合物、METHOCELTM 纖維素醚、POLYOXTM 水溶性樹脂、SoftCATTM 聚合物、UCARETM 聚合物、UCONTM 流體、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、及其組合。水溶性聚合物可為短鏈或長鏈聚合物且可與本發明之非離子、陰離子、陽離子、及/或兩性離子界面活化劑組合。較佳地,界面活化劑包含二陰離子磺酸鹽界面活化劑、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、及其組合。
組合物中可包括水部分地係因為其溶解氟化物物質之能力。較佳為去離子水。
當存在有機溶劑時,其作為溶劑幫助滲透並溶解有機殘餘物,潤濕微電子裝置結構之表面以有利於材料移除及/或鈍化下伏毗鄰材料(例如,微電子裝置基板)。本文預期之有機溶劑包括(但不限於)醇、醚、吡咯啶酮、二醇、羧酸、二醇醚、胺、酮、醛、烷烴、烯烴、炔烴及胺,更佳地醇、醚、吡咯啶酮、二醇、羧酸、及二醇醚,例如甲醇、乙醇、異丙醇、丁醇、及更高碳數醇(包括二醇、三醇等)、2,2,3,3,4,4,5,5-八氟-1-戊醇、1H,1H,9H-全氟-1-壬醇、全氟庚酸、1H,1H,7H-十二氟-1-庚醇、全氟戊酸、1H,1H,8H,8H-十二氟-1,8-辛烷二醇、2,2,3,3,4,4,5,5-八氟-1,6-己烷二醇、5H-全氟戊酸、七氟丁酸正丁酯、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇、二噁烷、丁內酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、兩親物質(二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚、二丙二醇二甲基醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、及其組合)、具支鏈氟化或未氟化醚鍵羧酸(CH3 CH2 )n O(CH2 )m COOH,其中n=1-10且m=1-10)、無支鏈氟化或未氟化醚鍵羧酸(CH3 CH2 )n O(CH2 )m COOH,其中n=1-10且m=1-10)、具支鏈氟化或未氟化無醚鍵羧酸(CH3 (CH2 )n COOH,其中n=1-10)、無支鏈氟化或未氟化無醚鍵羧酸(CH3 (CH2 )n COOH,其中n=1-10)、二羧酸、三羧酸、及其組合。此外,溶劑可包含其他兩親物質,即,含有類似於界面活化劑之親水及疏水部分二者之物質。疏水性通常可藉由納入由烴基或氟碳基團組成之分子基團來賦予,且親水性通常可藉由納入離子或不帶電荷的極性官能團來賦予。較佳地,有機溶劑包含環丁碸、丁基卡必醇、二丙二醇丙醚、或其混合物。
可選額外的酸幫助低-k介電材料中交聯聚合物鍵斷裂及溶解。額外的酸可為有機物及/或無機物且包括(但不限於)硼酸、草酸、琥珀酸、檸檬酸、乳酸、乙酸、三氟乙酸、四氟硼酸、氫氟酸、氫氯酸、甲酸、富馬酸、丙烯酸、丙二酸、馬來酸、蘋果酸、L-酒石酸、甲磺酸、三氟甲磺酸、碘酸、巰基乙酸、硫代乙酸、乙醇酸、硫酸、硝酸、丙炔酸、丙酮酸、乙醯乙酸、及其組合。
可添加螯合劑以減少或消除晶圓回收期間裝置表面上之金屬污染物。本文預期之螯合劑包括(但不限於):β-二丙酮酸鹽化合物,例如乙醯丙酮酸鹽、1,1,1-三氟-2,4-戊二酮、及1,1,1,5,5,5-六氟-2,4-戊二酮;羧酸酯,例如甲酸酯及乙酸酯及其他長鏈羧酸酯;及醯胺(及胺),例如雙(三甲基甲矽烷基醯胺)四聚體。額外螯合劑包括胺及胺基酸(即,甘胺酸、絲胺酸、脯胺酸、亮胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸、及離胺酸)、檸檬酸、乙酸、馬來酸、草酸、丙二酸、琥珀酸、膦酸、膦酸衍生物(例如羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、氮基-叁(亞甲基膦酸))、氮基三乙酸、亞胺基二乙酸、依替膦酸(etidronic acid)、乙二胺、乙二胺四乙酸(EDTA)、及(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、經二取代之二硫代胺基甲酸鹽(R1 (CH2 CH2 O)2 NR2 CS2 Na,其具有一個烷基(R2 =己基、辛基、癸基或十二烷基)及一個寡醚(R1 (CH2 CH2 O)2 ,其中R1 =乙基或丁基))、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉、及其組合。不像可能需要與鹼結合以形成能夠螯合的去質子化化合物的未氟化β-二酮一樣,氟化β-二酮螯合劑可在無鹼的情況下使用。螯合劑可在製造商處、在組合物引入裝置晶圓之前或者在裝置晶圓處(即,在現場)引入組合物中。進一步預期,除螯合劑以外,其他組份可添加於組合物中以稀釋、維持及/或增加組合物中其他組份之濃度。較佳地,螯合劑包含至少一種膦酸衍生物。
本文預期之氧化劑包括(但不限於)過氧化氫(H2 O2 )、FeCl3 (水合及未水合二者)、過硫酸氫鉀製劑(oxone)(2KHSO5 ‧KHSO4 ‧K2 SO4 )、銨多原子鹽(polyatomic salt)(例如,過氧單硫酸銨、亞氯酸銨(NH4 ClO2 )、氯酸銨(NH4 ClO3 )、碘酸銨(NH4 IO3 )、過硼酸銨(NH4 BO3 )、高氯酸銨(NH4 ClO4 )、高碘酸銨(NH4 IO3 )、過硫酸銨((NH4 )2 S2 O8 )、次氯酸銨(NH4 ClO))、鈉多原子鹽(例如,過硫酸鈉(Na2 S2 O8 )、次氯酸鈉(NaClO))、鉀多原子鹽(例如,碘酸鉀(KIO3 )、高錳酸鉀(KMnO4 )、過硫酸鉀、硝酸(HNO3 )、過硫酸鉀(K2 S2 O8 )、次氯酸鉀(KClO))、四甲基銨多原子鹽(例如,四甲基亞氯酸銨((N(CH3 )4 )ClO2 )、四甲基氯酸銨((N(CH3 )4 )ClO3 )、四甲基碘酸銨((N(CH3 )4 )IO3 )、四甲基過硼酸銨((N(CH3 )4 )BO3 )、四甲基高氯酸銨((N(CH3 )4 )ClO4 )、四甲基高碘酸銨((N(CH3 )4 )IO4 )、四甲基過硫酸銨((N(CH3 )4 )S2 O8 ))、四丁基銨多原子鹽(例如,四丁基過氧單硫酸銨)、過氧單硫酸、硝酸鐵(Fe(NO3 )3 )、過氧化氫脲((CO(NH2 )2 )H2 O2 )、過乙酸(CH3 (CO)OOH)、及其組合。氧化劑可在製造商處、在將組合物引入裝置晶圓之前、或者在裝置晶圓處(即,在現場)引入組合物中。較佳地,氧化劑包含過氧化物化合物。
此項技術中已知,HF在金屬污染物(包括銅)之存在下造成微電子裝置基板(包括矽)之坑蝕。為實質上消除此有害坑蝕效應,可將氯化物源添加於移除組合物中以在回收製程期間使微電子裝置基板之坑蝕降至最低,該等氯化物源可為例如(但不限於)氫氯酸、鹼金屬氯化物(例如,NaCl、KCo、RbCl、CsCl等)、鹼土金屬氯化物(例如,MgCl2 、CaCl2 、SrCl2 、BaCl2 等)、氯化銨、具有式NR1 R2 R3 R4 Cl之烷基氯化銨(其中R1 、R2 、R3 及R4 可彼此相同或不同且可為H或具支鏈或直鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基或己基))及其組合。較佳地,氯化物源包含氯化銨。
消泡劑係引發溶液中之泡沫快速坍塌或抑制起泡程度之物質。較佳地,消泡劑必須滿足三個條件:其應不溶於溶液,其應具有正鋪展係數,且其應具有正進入係數。預期消泡劑通常包括(但不限於)基於聚矽氧油、基於礦物油、基於天然油、基於乙炔系及基於磷酸酯之消泡劑。更佳地,消泡劑包括(但不限於)環氧乙烷/環氧丙烷嵌段共聚物,例如Pluronic(BASF)產品(例如,Pluronic17R2、Pluronic17R4、Pluronic31R1及Pluronic25R2);醇烷氧基化物,例如Plurafac產品(BASF)(例如,PlurafacPA20);脂肪醇烷氧基化物,例如Surfonic(Huntsmen)(例如,SurfonicP1);磷酸酯與非離子乳化劑之摻合物,例如消泡劑M(Ortho Chemicals Australia Pty.公司)及超級消泡劑225(Varn Products);及其組合。注意,消泡劑M亦可作為潤濕劑,且因此當使用消泡劑M時其可為界面活化劑及消泡劑二者。此外,二乙二醇單丁醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚、二丙二醇二甲基醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、及丙二醇可單獨使用或與其他消泡劑組合使用以有效消除泡沫。在一個實施例中,消泡劑選自由環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合組成之群。在另一實施例中,消泡劑選自由環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、及其組合組成之群。在再一實施例中,消泡劑為環氧乙烷/環氧丙烷嵌段共聚物。
鑒於第一態樣之「綠色」移除組合物之性質,組合物較佳實質上不存在包括伸乙基(例如,伸乙基、二伸乙基、三伸乙基等)之有機溶劑及其他HAP有機溶劑。舉例而言,若存在有機溶劑,則其較佳包括伸丙基及/或丙二醇醚。應瞭解,包括含伸乙基之溶劑的組合物亦係可能的,如由熟悉此項技術者容易地確定。
該等組合物可視情況包括額外組份,其包括活性成份以及非活性成份,例如,流變劑、穩定劑、鈍化劑、分散劑、pH穩定劑等。
在較佳實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、及至少一種消泡劑,由該等構成或基本上由該等構成。在又一較佳實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、及至少一種消泡劑,由該等構成或基本上由該等構成,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合。在另一較佳實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、至少一種消泡劑、至少一種氯化物源、及至少一種螯合劑,由該等構成或基本上由該等構成。在另一實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、至少一種消泡劑、至少一種氯化物源、及至少一種螯合劑,由該等構成或基本上由該等構成,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合。在再一較佳實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、至少一種消泡劑、至少一種氯化物源、至少一種氧化劑及至少一種螯合劑,由該等構成或基本上由該等構成。在另一較佳實施例中,第一態樣之「綠色」移除組合物包含至少一種蝕刻劑、至少一種界面活化劑、水、至少一種消泡劑、至少一種氯化物源、至少一種氧化劑及至少一種螯合劑,由該等構成或基本上由該等構成,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物、及其組合。舉例而言,第一態樣之移除組合物可包含水、氯化銨、HF、膦酸衍生物螯合劑、烷基二苯基氧化物二磺酸鹽界面活化劑及環氧乙烷/環氧丙烷嵌段共聚物消泡劑,由該等構成或基本上由該等構成。第一態樣之移除組合物的另一實例包含水、氯化銨、HF、HEDP、烷基二苯基氧化物二磺酸鹽界面活化劑及環氧乙烷/環氧丙烷嵌段共聚物消泡劑,由該等構成或基本上由該等構成。氧化劑(例如過氧化氫)可在製造商處、在組合物引入裝置晶圓之前或者在裝置晶圓處(即,在現場)引入組合物中。
第一態樣之「綠色」移除組合物可進一步包括選自由以下組成之群之材料殘餘物:蝕刻後殘餘物、低-k介電材料、金屬堆疊材料、高-k介電材料、障壁層材料、鐵電材料、氮化物、矽化物、氧化物、含聚合物之累積物、ARC材料、經摻雜區域、其他材料、及其組合。較佳地,該等材料溶解於及/或懸浮於「綠色」移除組合物中且移除組合物仍具有其期望用途。
在一個實施例中,第一態樣之「綠色」移除組合物調配成以下濃縮實施例,其中所有百分比均基於調配物之總重量以重量計:
濃縮實施例可包括約0.01%至約20%、更佳約1%至約15重量%的至少一種氧化劑,其可預先添加及/或在移除現場添加。當存在時,有機溶劑及/或有機酸之下限以調配物之總重量計可為0.01重量%。在尤其較佳實施例中,該等組份之重量百分比的範圍為:相對於純淨界面活化劑,約1:1至約10:1純淨氯化物源、較佳約2:1至約5:1、且最佳約3:1至約4:1;相對於純淨界面活化劑,約1:1至約15:1純淨HF、較佳約3:1至約10:1、且最佳約7:1至約8:1;相對於純淨界面活化劑,約1:1至約10:1純淨螯合劑、較佳約2:1至約8:1、且最佳約4:1至約5:1;且相對於純淨界面活化劑,約0.01:1至約0.15:1純淨消泡劑、較佳約0.03:1至約0.12:1、且最佳約0.06:1至約0.09:1。
在第一態樣之各實施例中,移除組合物可實質上不存在以下物質中的至少一者:硝酸、硫酸、內醯胺(例如,六氫吡啶酮及/或吡咯啶酮)、超臨界流體、胺及藉由至少一種醛與至少一種芳族化合物縮聚製得之聚合物。
在一個實施例中,第一態樣之移除組合物係用於回收微電子裝置結構。換言之,可自微電子裝置結構移除一個可移除層或一個以上的可移除層。
在另一實施例中,第一態樣之移除組合物可用於再加工微電子裝置結構,由此移除該結構之背側及/或斜邊緣上的含聚合物之累積物。自結構之背側及/或斜邊緣移除含聚合物之累積物的方法可需要(但非必需)保護結構之前側免於暴露於組合物。此一方法可包括將結構定位於單一晶圓工具上,該工具使用惰性氣體(例如,氮)及/或去離子水噴射保護晶圓之前側。或者,可藉由在前側上沈積厚光阻劑層或其他保護性塗層聚合物來保護前側。換言之,若結構之前側包括當清潔背側及/或斜邊緣時不能暴露於第一態樣之移除組合物之圖案化及/或毯覆材料時,則應對前側進行保護。在另一實施例中,將前側與背側/斜邊緣二者均暴露於第一態樣之移除組合物以同時將材料自前側(例如,低-k介電材料等)及背側/斜邊緣(例如,含聚合物之累積物及含銅材料)移除。
使用本文所述之移除組合物移除之低-k介電材料包括CORALTM 、黑金剛石TM (以下稱為BD)、CORAL之衍生物、BD之衍生物、AURORA、AURORA之衍生物、SiCOH等。如本文所用,「CORAL之衍生物」及「BD之衍生物」分別對應於使用替代、通常專有之沈積方法沈積之CORAL及BD材料。利用不同處理技術將產生分別不同於CORALTM 及BLACK DIAMONDTM 之CORAL及BD材料。
在本發明第一態樣之另一實施例中,將銅離子添加於移除組合物中以加速鎢及含鎢層自微電子裝置結構之移除。當存在時,所添加銅離子之量以組合物之總重量計可在約0.01wt%至約5wt%、較佳約0.1wt%至約2.5wt%、且最佳約0.2wt%至約1wt%之範圍內。
在第二態樣中,闡述另一種「綠色」或環境友好移除組合物,該移除組合物包含蝕刻劑源、至少一種界面活化劑、水、及視情況至少一種氧化劑,由該等構成或基本上由該等構成。移除組合物之組份以組合物之總重量計以以下範圍存在:
用於第二態樣之移除組合物的蝕刻劑及可選氧化劑包括彼等以上針對第一態樣之移除組合物所闡述者。適於第二態樣之移除組合物的界面活化劑包括(但不限於):陰離子界面活化劑,例如十二烷基苯磺酸(DDBSA)或其鹽、其他直鏈烷基苯磺酸(LABSA)或其鹽、烷氧基化脂肪醇之磷酸酯(例如,KLEARFACAA270,由BASF公司出售);非離子界面活化劑,例如壬基酚乙氧基化物(例如,TergitolTM 15-S-9,自DOW購得)、脂肪醇烷氧基化物(例如Surfonic(Huntsmen)(例如,SurfonicP1))、聚氧乙烯二醇十二烷基醚(例如,Brij 35)、及醇烷氧基化物(例如Plurafac產品(BASF)(例如,PlurafacPA20));聚合物界面活化劑,例如PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、環氧乙烷/環氧丙烷嵌段共聚物,例如Pluronic(BASF)產品(例如,Pluronic17R2、Pluronic17R4、Pluronic31R1及Pluronic25R2);及其組合。較佳地,界面活化劑包含環氧乙烷/環氧丙烷嵌段共聚物。
該等組合物可視情況包括額外組份,其包括活性成份以及非活性成份,例如流變劑、穩定劑、鈍化劑、分散劑、pH穩定劑、消泡劑、氯化物源、氧化劑、螯合劑、共溶劑等。
第二態樣之「綠色」移除組合物可進一步包括選自由以下組成之群之材料殘餘物:蝕刻後殘餘物、低-k介電材料、高-k介電材料、SiCN、含鋁材料、障壁層材料、鐵電材料、氮化物、矽化物、氧化物、光阻劑、含聚合物之累積物、ARC材料、經摻雜區域、其他材料、及其組合。較佳地,該等材料溶解於及/或懸浮於「綠色」移除組合物中且移除組合物仍具有其期望用途。
鑒於第二態樣之「綠色」移除組合物之性質,組合物較佳實質上不存在:包括伸乙基(例如,伸乙基、二伸乙基、三伸乙基等)之有機溶劑及其他HAP有機溶劑;硝酸;硫酸;內醯胺(例如,六氫吡啶酮及/或吡咯啶酮);超臨界流體;胺;氟化銨;及藉由至少一種醛與至少一種芳族化合物縮聚製得之聚合物。
在一個實施例中,將第二態樣之「綠色」或環境友好移除組合物調配成以下濃縮實施例,其中所有百分比均基於調配物之總重量以重量表示:
在尤其較佳實施例中,第二態樣之移除組合物包括約17wt%至約23wt% HF、約4wt%至約6wt%界面活化劑及約70wt%至約80wt%水,其中所有百分比均基於調配物之總重量以重量表示。當存在時,氧化劑之量較佳在約0.01wt%至約10wt%之範圍內。在尤其較佳實施例中,該等組份之重量百分比範圍為:相對於純淨界面活化劑為約1:1至約10:1純淨HF、較佳約2:1至約6:1、且最佳約3:1至約5:1。
第二態樣之移除組合物的較佳實施例包含HF、PEG-PPG-PEG嵌段共聚物及水,由該等構成或基本上由該等構成。在另一較佳實施例中,第二態樣之移除組合物包含HF、PPG-PEG-PPG嵌段共聚物及水,由該等構成或基本上由該等構成。在另一較佳實施例中,第二態樣之移除組合物包含HF、聚氧乙烯二醇十二烷基醚界面活化劑及水,由該等構成或基本上由該等構成。氧化劑(例如過氧化氫)可在製造商處、在組合物引入裝置晶圓之前或者在裝置晶圓處(即,在現場)引入組合物中。在另一較佳實施例中,第二態樣之組合物進一步包括至少一種消泡劑。
在一個實施例中,第二態樣之移除組合物用於回收微電子裝置結構。換言之,可自微電子裝置結構移除一個可移除層或一個以上的可移除層。在另一實施例中,第二態樣之移除組合物可用於再加工微電子裝置結構,由此移除該結構之背側及/或斜邊緣上的含聚合物之累積物,如以上關於第一態樣所述。
應注意,在移除組合物即將與微電子裝置接觸時,第一與第二態樣之移除組合物應實質上沒有CMP處理期間通常所用之磨蝕材料。
本文所述移除組合物有效同時自微電子裝置表面移除以下至少一者:含聚合物之累積物、金屬堆疊材料、低-k介電層、高-k介電層、蝕刻終止層、氮化物、矽化物、氧化物、障壁層、光阻劑、蝕刻後殘餘物、其他材料、經摻雜區域(不同於經摻雜磊晶Si)及/或其他材料。舉例而言,移除組合物可自微電子裝置之前側有效移除低-k介電材料,同時自微電子裝置之背側及/或斜邊緣移除聚合物及其他材料,如由熟悉此項技術者所容易地確定。因此,當實施微電子裝置製造作業時,本文所述之移除組合物可在單一回收或再加工步驟中有效用於自微電子裝置結構移除至少一種選自由以下組成之材料以再循環利用及/或再使用該等結構:低-k介電材料、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、光阻劑、障壁層、含聚合物之累積物、鐵電材料、其他材料、經摻雜區域(不同於經摻雜磊晶Si)及其組合。本文所述移除組合物滿足回收要求,其包括(但不限於):0.25μm的粒子少於25個、0.12μm的粒子少於50個、或0.09μm的粒子少於100個,總厚度變化(TTV)小於約5μm(不需要移除後平坦化製程),表面金屬污染小於1×1010 個原子cm-2 ;及/或所回收基板之厚度(無任何其他保留層)在初始基板厚度的5%以內,較佳在2%以內,且最佳在1%以內;以及再加工/清潔要求。此外,由於低TTV,故在再使用之前可能不需要化學機械拋光(CMP)步驟,該步驟係典型的通用回收實踐,即,以在濕移除材料之後平坦化基板來平坦化晶圓之前側或背側。或者,可改變CMP步驟之參數以使能量需要實質上降低,例如,拋光時間之長度縮短等。最佳地,在自微電子裝置基板移除材料之後,TTV小於3%、更佳地小於1%且最佳小於0.5%。
本文所述之移除組合物亦滿足再使用要求,例如,實現自裝置基板之最外邊緣及背側實質移除光阻劑、含聚合物之累積物及/或電鍍銅而不會實質損壞欲保留之層。不像先前技術(例如,物理拋光邊緣、乾電漿蝕刻、燃燒等)之再使用組合物一樣,欲自微電子裝置結構移除之至少一種材料可利用濕溶液移除。
藉由簡單添加各個成份並將其混合至均相狀態來容易地調配本文所述移除組合物。另外,可容易地將移除組合物調配成單一包裝調配物或在使用時混合之多份調配物。多份調配物之個別部分可在工具處或在工具上游之儲存罐中或二者中混合。在移除組合物中各個成份之濃度可以特定倍數大幅度變化,例如更稀或更濃,且應理解移除組合物可不同地或選擇性地包含符合本文揭示內容之各成份的任何組合、由其組成或基本由其組成。
因此,另一態樣係關於本文所述組合物具有少量水及/或溶劑、或者另一選擇沒有水及/或溶劑之濃調配物,其中水及/或溶劑可在使用之前添加以形成移除組合物。濃調配物可在約1:10至100:1溶劑對濃縮物之範圍內稀釋,其中溶劑可為水及/或有機溶劑。
另一態樣係關於在一或多個容器中包含一或多種適於形成本文所述移除組合物(即,第一或第二態樣)之組份的套組。在一個實施例中,套組可在一或多個容器中包括蝕刻劑源、至少一種界面活化劑或聚合物、視情況水、視情況至少一種有機溶劑、視情況至少一種有機酸、視情況至少一種氧化劑、視情況至少一種氯化物源、視情況至少一種螯合劑、及視情況至少一種消泡劑用於如此或與稀釋劑(例如,水及/或有機溶劑)及/或消泡劑在工廠中組合。或者,套組可包括至少一種蝕刻劑、至少一種界面活化劑或聚合物、水、及視情況至少一種消泡劑用於如此或與稀釋劑(例如,水及/或有機溶劑)及/或消泡劑在工廠中組合。在另一替代方案中,套組可包括至少一種蝕刻劑、至少一種界面活化劑、水、至少一種氯化物源、至少一種螯合劑、及視情況至少一種消泡劑用於如此或與稀釋劑(例如,水及/或有機溶劑)及/或消泡劑在工廠中組合。在再一替代中,套組可包括至少一種蝕刻劑、至少一種界面活化劑、水、至少一種氯化物源、至少一種螯合劑、及視情況至少一種消泡劑用於如此或與稀釋劑(例如,水及/或有機溶劑)、消泡劑、及/或氧化劑在工廠中組合。在再一實施例中,套組可包括至少一種蝕刻劑、至少一種界面活化劑或聚合物及水用於如此或與稀釋劑(例如,水)及/或至少一種氧化劑在工廠中組合。
套組之容器應化學上適於儲存及分配其中所含之組份。舉例而言,套組之容器可為NOWPak容器(Advanced Technology Materials公司,Danbury,Conn,USA)。含有移除組合物各組份之一或多個容器較佳包括使該一或多個容器中之組份處於流體連通狀態以進行摻和及分配之構件。舉例而言,參照NOWPak容器,可將氣壓施加至該一或多個容器之襯裏外側以排出至少一部分襯裏內容物且因此使得流體連通以進行摻和及分配。或者,可將氣壓施加至習用可加壓之容器之頂部空間或可使用幫浦來達成流體連通。此外,系統較佳包括將經摻和之移除組合物分配至處理工具之分配埠。
較佳使用實質上化學惰性、無雜質、撓性及彈性聚合物膜材料(例如,高密度聚乙烯)來製造該一或多個容器之襯裏。合意襯裏材料經處理而無需共擠出或障壁層,且無可不利地影響欲佈置於該襯裏中之組份的純度要求的任何顏料、UV抑制劑、或處理劑。合意襯裏材料之列表包括含以下物質之膜:純淨(不含添加劑)聚乙烯、純淨聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚二氯亞乙烯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等。該等襯裏材料之較佳厚度在約5密爾(0.005英吋)至約30密爾(0.030英吋)之範圍內,例如厚度為20密爾(0.020英吋)。
關於套組之容器,以下專利及專利申請案之解釋內容均以其相應整體內容引用的方式倂入本文中:美國專利第7,188,644號,標題為「APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS」;美國專利第6,698,619號,標題為「RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM」;國際申請案第PCT/US08/63276號,其在2008年5月9日以John E.Q. Hughes的名字提出申請標題為「SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION」;及國際申請案第PCT/US08/85826號,其在2008年12月8日以John E.Q. Hughes等人的名字提出申請且標題為「SYSTEMS AND METHODS FOR DELIVERY OF FLUID-CONTAI NING PROCESS MATERIAL COMBINATIONS」。
除液體溶液外,本文亦預計移除組合物可調配成泡沫、霧、緻密流體(即,超臨界或亞臨界,其中除水及/或有機溶劑以外或代替水及/或有機溶劑,溶劑為CO2 等)。
本文所述移除組合物在單一步驟中使來自微電子裝置基板之至少一種選自由以下組成之群之材料溶解及/或分層:蝕刻後殘餘物、低-k介電材料、高-k介電材料、蝕刻終止層、氮化物、矽化物、氧化物、金屬堆疊材料、鐵電材料、障壁層、光阻劑、ARC材料、含聚合物之累積物、經摻雜區域、及其組合(即,所有欲移除材料均可藉由使不合格微電子裝置基板與單一組合物接觸以單次浸漬來移除)。最佳地,可移除材料在單一步驟中溶解及/或分層,前體條件係在再循環利用及/或再使用之前不需要機械拋光。如本文所定義,「溶解」涵蓋使固體溶質(例如,欲移除之物質)進入溶劑以形成溶液之過程。「溶解」意欲包括欲移除物質之蝕刻、分解及/或化學拋光。溶解具有使可隨後沉降於該基板上之特定物質的生成降至最低以及實質上消除移除設備阻塞的優點。
有利地,在移除製程之後微電子裝置結構的剩餘層實質上平滑且未受損壞,較佳在附加製造製程之前不需要平坦化前側及/或背側,即,新材料層(例如,低-k介電質、高-k介電質、光阻劑、金屬堆疊層、蝕刻終止層等)之沈積製程。舉例而言,若之後回收,則剩餘層僅包括微電子裝置基板及磊晶Si層,基板較佳已準備好再循環利用/再使用而無需昂貴且影響結構的機械拋光。應瞭解,需要時可使用表面的機械拋光、接觸拋光或摩擦。
在另一態樣中,揭示移除方法,其中將至少一種選自由低-k介電層、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層、光阻劑、ARC材料、蝕刻後殘餘物、含聚合物之累積物、經摻雜區域、及其組合組成之群的材料自其上具有該等層之微電子裝置使用第一或第二態樣之移除組合物移除。舉例而言,可移除低-k介電材料同時維持下伏基板及蝕刻終止層(例如,SiCN、SiCO、SiC、SiON、SiGe、SiGeB、SiGeC、AlAs、InGaP、InP、InGaAs)、及金屬堆疊材料之完整性。或者,可移除低-k介電層及金屬堆疊材料同時維持下伏基板及/或蝕刻終止層之完整性。在另一替代中,可移除低-k介電層、蝕刻終止層及金屬堆疊材料同時維持下伏基板之完整性。
在再一態樣中,可使用第一或第二態樣之移除組合物來清潔微電子裝置結構,由此移除結構之背側及/或斜邊緣上含聚合物之累積物。在一個實施例中,自結構的背側及/或斜邊緣移除含聚合物之累積物的製程包括將該結構定位於單一晶圓工具,該晶圓工具使用惰性氣體(例如,氮氣)及/或去離子水噴射保護該結構之前側。或者,可藉由將厚光阻劑層或其他保護塗層聚合物沈積於前側上來保護前側。換言之,包括不想損壞的毯覆式或圖案化層的結構前側在清潔背側及/或斜邊緣時並未暴露於移除組合物。在另一實施例中,將前側與背側/斜邊緣二者暴露於移除組合物以同時將材料自前側(例如,低-k介電材料)及背側/斜邊緣(例如,含聚合物之累積物及含銅之材料)移除。
微電子裝置晶圓可在廠外或廠內再加工。廠內再加工及再循環利用具有增加總產量、降低總成本及減少診斷過程與再加工之間的循環時間等優點。
在移除應用中,本文所述之移除組合物以任何適宜方式與其上具有欲移除材料之不合格微電子裝置接觸,例如,藉由將移除組合物噴射於裝置表面上、將包括可移除材料之裝置浸漬(於一定體積的移除組合物中)、使該裝置與其上吸收有移除組合物的另一材料(例如,墊或纖維性吸附劑塗施元件)接觸、使包括欲移除材料之裝置與再循環移除組合物接觸、或藉由使移除組合物與欲移除材料形成可移除接觸的任何其他適宜途徑、方式或技術。接觸條件包括一定時期及足以移除可移除材料之條件。此外,本文涵蓋批量或單一晶圓處理。使用移除組合物之移除製程可包括靜態清潔、動態清潔、或包括動態清潔、隨後靜態清潔之相繼處理步驟以在移除組合物中清潔該裝置,其中相應動態及靜態步驟在該等交替步驟之循環中交替且重複地實施。本文所揭示任何接觸選擇條件可進一步包含超音處理以幫助自微電子裝置移除欲移除之材料。
本文所闡述之移除組合物可與各種習用清潔工具一起使用,其包括Verteq單一晶圓兆音波金手指(Goldfinger)、OnTrak systems DDS(雙側刷洗)、Laurell旋轉噴射工具、SEZ單一晶圓噴射洗滌、Applied Materials Mirra-MesaTM /ReflexionTM /Reflexion LKTM 、及兆音波批量潤濕台系統。舉例而言,可藉由添加物理組份(例如兆音波)至一步濕化學製程以相對於基板或欲保留之層使欲移除材料之表面及使欲移除材料之介面處機械分解來輔助如上所述移除至少一種材料之製程。
當施加至微電子裝置製造作業時,移除組合物有效用於自微電子裝置結構移除至少一種選自由低-k介電層、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層材料、光阻劑、蝕刻後殘餘物、ARC材料、含聚合物之累積物、經摻雜區域、及其組合組成之群之材料用於該等結構之回收、再加工、再循環利用及/或再使用。此外,應瞭解移除組合物可在化學機械拋光製程期間使用以加速CDO及其他低-k介電材料之移除或CMP後製程以移除CMP後殘餘材料。
當將至少一種選自由低-k介電層、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層、光阻劑、蝕刻後殘餘物、ARC材料、含聚合物之累積物、經摻雜區域、及其組合組成之群之材料自具有其之微電子裝置結構移除時,通常使移除組合物與結構裝置在約20℃至約90℃、較佳約20℃至約70℃、最佳約20℃至約50℃之範圍內的溫度下接觸約30秒至約60分鐘、更佳地約75秒至約5分鐘之時間,最佳時間取決於欲移除層之厚度。當移除蝕刻終止層時,在約20℃至約80℃之範圍內的溫度下接觸時間可在約5分鐘至約3小時之範圍內,此取決於蝕刻終止層的厚度。該等接觸時間及溫度係例示性的,且在本發明之廣泛實踐內可使用有效的實質上自裝置結構移除材料之任何其他適宜時間及溫度條件。
達成合意的移除作用之後,移除組合物可容易地自先前其所施加之微電子裝置移除,例如,通過洗滌、沖洗、乾燥或其他移除步驟,如在本文所揭示組合物之既定最終用途應用中所期望且有效的。舉例而言,微電子裝置可用去離子水沖洗。此外,微電子裝置可利用氮氣、異丙醇或SEZ(旋轉處理技術)進行乾燥。
在移除組合物與不合格微電子裝置結構接觸期間可使用統計過程控制(SPC)監測並控制該等組合物。舉例而言,可監測移除組合物浴液之SPC並控制若干輸入,其包括浴液溫度、浴液pH、浴液主要組份之濃度、副產物之濃度及進給化學品純度。較佳地,使用在線監測來監測移除組合物,其中在線取樣設備可以通信方式與標準分析工具耦合以監測浴液重量損失(其係水及/或胺損失之指示)、氟化物濃度、H2 O2 濃度、pH等。藉由監測及/或控制該等參數中之至少一者,可延長移除組合物浴液之壽命,此使製程效率達到最大。SPC之目的係當進行處理時隨時間推移維持移除組合物之若干參數的實質穩定態,如由熟悉此項技術者所容易地確定。關於SPC,以下專利申請案之揭示內容以其相應整體引用的方式併入本文中:2007年3月31日提出申請的標題為「METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION」之美國臨時專利申請案第60/909,428號及2007年6月13日提出申請的標題為「METHOD FOR STRIPPING MATERIAL FOR WAFER RECLAMATION」之美國臨時專利申請案第60/943,736號。
令人驚奇地,本發明者發現,同一微電子裝置結構可多次進行回收,例如,移除材料以回收基板或以回收基板及欲保留層。舉例而言,同一基板可經處理以沈積至少一個材料層並隨後回收多於或等於兩次、較佳多於或等於5次、更佳地多於或等於10次、且最佳多於或等於20次,此取決於方法及所沈積材料,所述回收每一次均滿足本文所述的回收要求。回收製程較佳係單一步驟移除製程(即,在單一步驟中使用單一組合物移除所有欲移除材料)且較佳在進行隨後處理之前不需要回收後平坦化。換言之,如熟悉此項技術者將瞭解,一些沈積方法及一些材料損壞基板,且因此可能需要多種溶液及/或一些平坦化來成功回收基板。平坦化會具有限制基板可回收之次數的影響。
應瞭解,涵蓋至少一個步驟需要使用至少一種本文所揭示移除組合物之多步驟移除製程。舉例而言,移除製程可為兩步驟製程,其中第一步驟包括使具有基板及至少一種欲移除材料之微電子裝置與本文所述之移除組合物在充分條件(例如,如本文所揭示)下接觸足夠時間以自微電子裝置移除該至少一種材料,並將基板拋光以移除表面損壞,其中拋光條件已為此項技術習知。
此外,本發明者驚訝地發現,同一微電子裝置結構可再加工(例如,材料自微電子裝置結構移除光阻劑及ARC)10次以上。舉例而言,同一結構可經光微影處理且隨後再加工以移除錯誤定位之光阻劑圖案多於或等於2次、較佳多於或等於5次、且最佳多於或等於10次,其中該再加工不會實質上損壞欲保留之層。此外,本發明者驚訝地發現,微電子裝置結構之背側及/或斜邊緣可容易地清潔,例如,自微電子裝置結構之背側及/或斜邊緣移除含聚合物之累積物及/或金屬而不需採用此項技術中所用之方法(例如,物理拋光、乾電漿蝕刻、燃燒等)。
在再一態樣中,揭示包含微電子裝置之物件,其中該微電子裝置包含使用本文所述之方法回收、再加工、再循環利用及/或再使用之微電子裝置結構或微電子裝置基板,該方法包含使微電子裝置結構與第一或第二態樣之移除組合物在充分條件下接觸足夠時間以實質上移除至少一種選自由以下組成之群之材料:低-k介電材料、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層材料、光阻劑、蝕刻後殘餘物、ARC材料、含聚合物之累積物、經摻雜區域、及其組合。再循環利用或再使用微電子裝置結構或微電子裝置基板可隨後包含一或多個在後續微電子裝置製造製程中沈積於其上之層,其包括至低-k介電層、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物層、矽化物層、氧化物層、鐵電層、障壁層材料、經摻雜區域、及其組合中之至少一者。
在再一態樣中,闡述一種物件,其中該物件包含再加工微電子裝置結構或再加工微電子裝置基板及至少一選自由以下組成之群之額外材料層:低-k介電材料、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層材料、光阻劑、ARC材料、經摻雜區域、及其組合,其中該至少一額外材料層沈積於經再加工後之微電子裝置結構或基板上。該物件可進一步包含定位於微電子裝置結構或基板與至少一額外材料層之間之中間層。
在再一態樣中,揭示製造包含微電子裝置之物件的方法,其中該微電子裝置包含使用本文所述之方法回收、再加工、再循環利用、及/或再使用之微電子裝置結構或微電子裝置基板,該方法包含使微電子裝置結構與第一或第二態樣之移除組合物在充分條件下接觸足夠時間以實質上移除至少一種選自由以下組成之群之材料:低-k介電材料、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物、矽化物、氧化物、鐵電材料、障壁層材料、光阻劑、蝕刻後殘餘物、ARC材料、含聚合物之累積物、經摻雜區域、及其組合。製造該物件之方法可進一步包含在後續微電子裝置製造製程中將一或多個層沈積於再循環利用或再使用微電子裝置結構或微電子裝置基板上,其中該一或多個層包括低-k介電層、高-k介電材料、蝕刻終止層、金屬堆疊材料、氮化物層、矽化物層、氧化物層、鐵電層、障壁層、摻雜區域、及其組合中之至少一者。
在另一態樣中,本發明係關於清潔微電子裝置結構之背側及/或斜邊緣的方法,該方法包含:將該結構定位於使用氮氣及/或去離子水噴射保護該結構之前側的工具中;及使該結構之背側及/或斜邊緣與第一或第二態樣之移除組合物接觸,其中該移除組合物實質上自微電子裝置基板之背側及/或斜邊緣移除含聚合物之累積物。
處理之後,本文所述之組合物可經進一步處理以降低製造設施中廢水流之化學需氧量(COD)。
在另一態樣中,在使用之後且丟棄之前將消泡劑添加到廢棄移除組合物中。
實例1
如下製備濃移除組合物:6.75wt% NH4Cl、43.6wt%水、30wt% HF(49%)、15wt% HEDP(60%)、4.5wt% Dowfax3B2(45%)(Dowfax3B2係作為45wt%溶液購得且如此使用)及0.15wt%消泡劑,其中該消泡劑係PlurafacRA20(調配物A)、SurfonicP1(調配物B)、Pluronic17R2(調配物C)、Pluronic17R4(調配物D)、或Pluronic25R2(調配物E)中之一者。每一濃組合物在使用之前以2:1用30%過氧化氫稀釋(即,2份濃縮物對1份30%H2O2)。
如下製備另一序列濃移除組合物:6.75wt% NH4Cl、47.5wt%水、30wt% HF(49%)、15wt% HEDP(60%)、及0.75wt%消泡劑,其中該消泡劑係PlurafacRA20(調配物F)、SurfonicP1(調配物G)、Pluronic17R2(調配物H)、Pluronic17R4(調配物I)、或Pluronic25R2(調配物J)中之一者。每一濃組合物在使用之前以2:1用30%過氧化氫稀釋(即,2份濃縮物對1份30% H2O2)。
調配物K包括6.75wt% NH4Cl、43.45wt%水、30wt% HF(49%)、15wt% HEDP(60%)、4.5wt% Dowfax3B2(45%)及0.6wt% Pluronic25R2。調配物K在使用之前以2:1用30%過氧化氫稀釋(即,2份濃縮物對1份30% H2O2)。
調配物L包括6.75wt% NH4Cl、43wt%水、30wt% HF(49%)、15wt% HEDP(60%)、4.5wt% Dowfax3B2(45%)及0.3wt% Pluronic25R2。調配物L在使用之前以2:1用30%過氧化氫稀釋(即,2份濃縮物對1份30% H2O2)。
於室溫(21±1℃)下將SiN、TEOS及銅之毯覆式晶圓靜止浸漬於經H2O2稀釋之調配物中並測定每一者之蝕刻速率。蝕刻速率結果顯示於下表1中:
注意到,所有樣品展示類似的SiN、TEOS及銅蝕刻速率。
對該等調配物實施起泡測試,為此在指示溫度下將調配物在瓶子中搖晃5秒鐘並量測泡沫在溶液表面上方之高度。結果示於表2-4中。對照沒有消泡劑且用額外的水代替。
可看出,所有消泡劑僅在2分鐘內即將組合物之起泡控制至約1cm。
亦實施銅負載試驗。舉例而言,藉由將一個USG Cu厚度為16k之200mm晶圓浸於50g溶液中於室溫下保持5分鐘可達成相當於1500個直徑300mm且USG Cu厚度為5000之晶圓的銅負載。經測定,包括PlurafacRA20、SurfonicP1、及Pluronic25R2之調配物於室溫下對相當的1000個晶圓展示最佳負載性能,其中未在經處理銅試件上觀察到明顯凹坑且幾乎沒有粒子。
實例2
如下製備濃移除組合物:4.5wt% NH4Cl、20wt% HF(49%)、10wt% HEDP(60%)、3.04wt% Dowfax3B2(45%)、0.104wt% Pluronic25R2、33.4wt% H2O2(30%)、表5中所示量的額外物質,且其餘為水,其中該等額外物質為二乙二醇單丁醚(下文中稱為BC)、二丙二醇單丙醚(下文中稱為DPGPE)或丙二醇(下文中稱為PG)。於室溫下實施實例1中所述的起泡高度試驗且結果展示於表5中。
實例3
製備以下調配物:
調配物M: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.1wt%超級消泡劑225、33.4wt% H2 O2 (30%)、29wt%水
調配物N: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt%HEDP(60%)、3wt% Dowfax3B2(45%)、0.1wt% Pluronic31R2、33.4wt% H2 O2 (30%)、29wt%水
調配物O: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.5wt% Pluronic25R2、33.4wt% H2 O2 (30%)、2wt%甲苯磺酸鈉、26.6wt%水
調配物P: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.07wt%超級消泡劑225、33.4wt% H2O2(30%)、29.03wt%水
調配物Q: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.02wt%超級消泡劑225、33.4wt% H2 O2 (30%)、29.08wt%水
調配物R: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.07wt%超級消泡劑225、33.4wt% H2 O2 (30%)、0.1wt% Pluronic25R2、28.93wt%水
調配物S: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.02wt%超級消泡劑225、33.4wt% H2 O2 (30%)、0.1wt% Pluronic25R2、28.98wt%水
調配物T: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.3wt% Pluronic31R2、33.4wt% H2 O2 (30%)、2.5wt% PG、26.3wt%水
調配物U: 4.5wt% NH4 Cl、20wt% HF(49%)、10wt% HEDP(60%)、3wt% Dowfax3B2(45%)、0.3wt% Pluronic31R2、33.4wt% H2 O2 (30%)、5wt%PG、23.8wt%水
於室溫下實施實例1中所述的起泡高度試驗且結果展示於表6中。
實例4
製備實例1中所述的調配物E。在使用之前將其以2:1用30%過氧化氫稀釋(即,2份濃縮物對1份30% H2O2)。使含有H2 O2 之調配物裝載銅離子,如表7中所指示。將鎢晶圓(在約80nm厚之障壁層上約5600厚)於21℃下於組合物中浸漬5或10分鐘,去除並用DI水沖洗並獲得晶圓之電子顯微照片。
Cu離子係藉由將1個200mm Cu晶圓(16.5k)溶於50g調配物E中獲得
參照圖1A-1E中之電子顯微照片(其分別對應於與在調配物E及AB-AE中浸漬相關之結果),可以看出以低至0.4wt%(以組合物之總重量計)之濃度存在的銅離子於室溫下僅在10分鐘內即可完全移除鎢層及下伏障壁層。
實例5
製備以下調配物:
調配物BA :40wt% HF(49%)、0.1wt% Brij 35、59.9wt%水
調配物BB :40wt% HF(49%)、0.5wt% Brij 35、59.5wt%水
調配物BC :40wt% HF(49%)、1wt% Brij 35、59wt%水
調配物BD :40wt% HF(49%)、0.1wt% PEG-PPG-PEG嵌段共聚物、59.9wt%水
調配物BE :40wt% HF(49%)、0.5wt% PEG-PPG-PEG嵌段共聚物、59.5wt%水
調配物BF :40wt% HF(49%)、1wt% PEG-PPG-PEG嵌段共聚物、59wt%水
調配物BG :40wt% HF(49%)、0.1wt% PPG-PEG-PPG嵌段共聚物、59.9wt%水
調配物BH :40wt% HF(49%)、0.5wt% PPG-PEG-PPG嵌段共聚物、59.5wt%水
調配物BI :40wt% HF(49%)、1wt% PPG-PEG-PPG嵌段共聚物、59wt%水
調配物BJ:40wt% HF(49%)、0.1wt% DDBSA、59.9wt%水
調配物BK:40wt% HF(49%)、0.5wt% DDBSA、59.5wt%水
調配物BL:40wt% HF(49%)、1wt% DDBSA、59wt%水
調配物BM:40wt% HF(49%)、0.1wt% Biosoft S-100、59.9wt%水
調配物BN:40wt% HF(49%)、0.5wt% Biosoft S-100、59.5wt%水
調配物BO:40wt% HF(49%)、1wt% Biosoft S-100、59wt%水
於70℃下將k值多於或等於2.7之黑金剛石的F-20試件(Advantiv,5000)於調配物BA-BO中浸漬20分鐘。對於每一樣品針對每一試件實施兩次重複測試。在20分鐘結束時,人工自單元吸取化學品並置於離心管中進行目視分析。將試件及溶液以(在適當情況下)完整膜保留、明顯殘留、略有殘留(幾乎不可見)或全部清除進行分級。使用黑金剛石k>2.7之結果提供於表8中。
可以看出,包括Brij 35或PEG-PPG-PEG嵌段共聚物之組合物成功自試件移除所有黑金剛石且所得溶液無殘餘物。此外,包括DDBSA及Biosoft S-100之組合物成功自試件移除所有黑金剛石。
應注意,當用k值為2.4之黑金剛石試件測試調配物時,包括PEG-PPG-PEG或DDBSA之調配物有效自試件移除所有黑金剛石且所得組合物無殘餘物。
實例6
製備以下調配物CA及CB用於使用COD燃燒技術進行COD測試。特定而言,該測試測定使水樣品中經還原之化合物氧化所需氧的數量。將氧化劑、觸媒及樣品於150℃下處理2小時:
調配物CA:40wt% HF(49%)、3wt% PEG-PPG-PEG嵌段共聚物、57wt%水
調配物CB:40wt% HF(49%)、5wt% PEG-PPG-PEG嵌段共聚物、55wt%水
調配物CA及CB以250:1、500:1及1000:1用水稀釋並測定COD值(以mg/L表示)。結果提供於下表9中。
為顯示兆音波在移除材料方面之有效性,如下製備調配物DA:調配物DA:20.1wt% HF、57.5wt%丁基卡必醇、1.5wt%環丁碸、10wt% H2 O2 、10.9wt%水
於35℃下將p-SiCOH浸漬於調配物DA中並經受兆音波處理10分鐘。對於k值為3.0、2.7、2.4及2.2之p-SiCOH,所有p-SiCOH均被剝除而無任何剩餘殘餘物。而且,剩餘表面平滑。同樣,於35℃下使用兆音波調配物DA僅在10分鐘中內即自晶圓表面移除黑金剛石II。
***
因此,儘管本文已參照本發明之具體態樣、特徵及例示性實施例闡述本發明,但應理解,本發明之用途並不因此受限,而是可擴展至並涵蓋諸多其他態樣、特徵、及實施例。因此,意欲將下文所述之申請專利範圍相應地理解為廣泛包括屬於其精神及範圍內之所有該等態樣、特徵、及實施例。
圖1A係鎢晶圓在調配物E中浸漬後之電子顯微照片;
圖1B係鎢晶圓在調配物AB中浸漬後之電子顯微照片;
圖1C係鎢晶圓在調配物AC中浸漬後之電子顯微照片;
圖1D係鎢晶圓在調配物AD中浸漬後之電子顯微照片;及
圖1E係鎢晶圓在調配物AE中浸漬後之電子顯微照片。
(無元件符號說明)

Claims (21)

  1. 一種移除組合物,其包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、至少一種氯化物源、水及至少一種消泡劑,其中該至少一種蝕刻劑包含選自由以下組成之群的物質:氟化氫(HF)、二氟化氙(XeF2 )、氟化銨(NH4 F)、四烷基氟化銨(NR4 F)、烷基氟化氫(NRH3 F)、二氟化氫銨(NH5 F2 )、二烷基氟化氫銨(NR2 H2 F)、三烷基氟化氫銨(NR3 HF)、三烷基三氟化氫銨(NR3 :3HF)及其組合,其中該至少一種氯化物源包含氫氯酸、鹼金屬氯化物、鹼土金屬氯化物、氯化銨、烷基氯化銨及其組合,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物及其組合,且其中該至少一種界面活化劑/聚合物源包含選自由以下組成之群之物質:氟烷基界面活化劑、乙氧基化氟界面活化劑、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、十二烷基苯磺酸及其鹽、直鏈烷基苯磺酸(LABSA)或其鹽、聚丙烯酸酯聚合物、二壬基苯基聚氧乙烯、聚矽氧聚合物、經改良之聚矽氧聚合物、乙炔系二醇、經改良之乙炔系二醇、烷基銨鹽、經改良之烷基銨鹽、烷基酚聚縮水甘油醚、烷基硫酸鈉、烷基硫酸銨、烷基(C10 -C18 )羧酸銨鹽、磺基琥珀酸鈉及其酯、烷基(C10 -C18 )磺酸鈉鹽、二陰離子磺酸鹽界面活化劑、十 六烷基三甲基溴化銨、十六烷基三甲基硫酸氫銨、羧酸銨、硫酸銨、胺氧化物、N-十二烷基-N,N-二甲基甜菜鹼、甜菜鹼、磺基甜菜鹼、烷基銨基丙基硫酸鹽、聚乙二醇(PEG)、聚環氧乙烷(PEO)、聚乙烯基吡咯啶酮(PVP)、羥乙基纖維素(HEC)、丙烯醯胺聚合物、聚(丙烯酸)、羧甲基纖維素(CMC)、羧甲基纖維素鈉(NaCMC)、羥丙基甲基纖維素、聚乙烯基吡咯啶酮K30、乳膠粉、乙基纖維素聚合物、丙基纖維素聚合物、纖維素醚、水溶性樹脂、烷氧基化脂肪醇之磷酸酯、壬基酚乙氧基化物、脂肪醇烷氧基化物、醇烷氧基化物、聚氧乙烯二醇十二烷基醚、環氧乙烷/環氧丙烷嵌段共聚物及其組合。
  2. 如請求項1之移除組合物,其中該移除組合物實質上不含有機溶劑及胺。
  3. 如請求項1之移除組合物,其進一步包含至少一種螯合劑。
  4. 如請求項1至3中任一項之移除組合物,其進一步包含至少一種氧化劑。
  5. 如請求項1之移除組合物,其中該至少一種蝕刻劑包含HF。
  6. 如請求項1之移除組合物,其中該至少一種蝕刻劑包含HF,且其中該至少一種界面活化劑/聚合物源包含選自由以下組成之群之物質:二陰離子磺酸鹽界面活化劑、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、 及其組合。
  7. 如請求項3之移除組合物,其中該至少一種螯合劑包含選自由以下組成之群之物質:乙醯丙酮酸鹽、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸鹽、乙酸鹽、雙(三甲基甲矽烷基醯胺)四聚體、甘胺酸、絲胺酸、脯胺酸、亮胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸、離胺酸、檸檬酸、乙酸、馬來酸、草酸、丙二酸、琥珀酸、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、氮基-參(亞甲基膦酸)、氮基三乙酸、亞胺基二乙酸、依替膦酸(etidronic acid)、乙二胺、乙二胺四乙酸(EDTA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、經二取代之二硫代胺基甲酸鹽、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉、及其組合。
  8. 如請求項3之移除組合物,其中該至少一種螯合劑包含膦酸衍生物。
  9. 如請求項1之移除組合物,其中該組合物包含HF、至少一種消泡劑、至少一種二陰離子磺酸鹽界面活化劑、及水。
  10. 如請求項1之移除組合物,其中該組合物包含HF、氯化銨、至少一種消泡劑、至少一種二陰離子磺酸鹽界面活化劑、至少一種膦酸衍生物、及水。
  11. 如請求項1之移除組合物,其進一步包含至少一種氧化劑,其中該組合物包含HF、氯化銨、至少一種消泡劑、至少一種烷基二苯基氧化物二磺酸鹽界面活化劑、至少一種膦酸衍生物、過氧化物化合物、及水。
  12. 如請求項1之移除組合物,其中該組合物包含HF、水及至少一種界面活化劑/聚合物源,該界面活化劑/聚合物源選自由PEG-PPG-PEG嵌段共聚物、PPG-PEG-PPG嵌段共聚物、聚氧乙烯二醇十二烷基醚界面活化劑、及其組合組成之群。
  13. 一種再循環利用微電子裝置結構之方法,該方法包含:使微電子裝置結構與一移除組合物在實質上自該微電子裝置結構移除至少一種材料之時間及條件下接觸,以獲得可再循環利用或可再使用之微電子裝置基板,該微電子裝置結構包含微電子裝置基板及該至少一種選自由以下組成之群之可移除材料:蝕刻後殘餘物、低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物材料、氮化物材料、氧化物材料、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、含聚合物之累積物、經摻雜區域、及其組合,其中該移除組合物包含至少一種蝕刻劑、至少一種界面活化劑/聚合物源、至少一種氯化物源、水及至少一 種消泡劑,其中該至少一種蝕刻劑包含選自由以下組成之群的物質:氟化氫(HF)、二氟化氙(XeF2 )、氟化銨(NH4 F)、四烷基氟化銨(NR4 F)、烷基氟化氫(NRH3 F)、二氟化氫銨(NH5 F2 )、二烷基氟化氫銨(NR2 H2 F)、三烷基氟化氫銨(NR3 HF)、三烷基三氟化氫銨(NR3 :3HF)及其組合,其中該至少一種氯化物源包含氫氯酸、鹼金屬氯化物、鹼土金屬氯化物、氯化銨、烷基氯化銨及其組合,其中該消泡劑包含選自由以下組成之群之物質:環氧乙烷/環氧丙烷嵌段共聚物、醇烷氧基化物、脂肪醇烷氧基化物、磷酸酯與非離子乳化劑之摻合物及其組合,且其中該至少一種界面活化劑/聚合物源包含選自由以下組成之群之物質:氟烷基界面活化劑、乙氧基化氟界面活化劑、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸鹽、十二烷基苯磺酸及其鹽、直鏈烷基苯磺酸(LABSA)或其鹽、聚丙烯酸酯聚合物、二壬基苯基聚氧乙烯、聚矽氧聚合物、經改良之聚矽氧聚合物、乙炔系二醇、經改良之乙炔系二醇、烷基銨鹽、經改良之烷基銨鹽、烷基酚聚縮水甘油醚、烷基硫酸鈉、烷基硫酸銨、烷基(C10 -C18 )羧酸銨鹽、磺基琥珀酸鈉及其酯、烷基(C10 -C18 )磺酸鈉鹽、二陰離子磺酸鹽界面活化劑、十六烷基三甲基溴化銨、十六烷基三甲基硫酸氫銨、羧酸銨、硫酸銨、胺氧化物、N-十二烷基-N,N-二甲基甜菜鹼、甜菜鹼、磺基甜菜鹼、烷基銨基丙基硫酸鹽、聚乙 二醇(PEG)、聚環氧乙烷(PEO)、聚乙烯基吡咯啶酮(PVP)、羥乙基纖維素(HEC)、丙烯醯胺聚合物、聚(丙烯酸)、羧甲基纖維素(CMC)、羧甲基纖維素鈉(NaCMC)、羥丙基甲基纖維素、聚乙烯基吡咯啶酮K30、乳膠粉、乙基纖維素聚合物、丙基纖維素聚合物、纖維素醚、水溶性樹脂、烷氧基化脂肪醇之磷酸酯、壬基酚乙氧基化物、脂肪醇烷氧基化物、醇烷氧基化物、聚氧乙烯二醇十二烷基醚、環氧乙烷/環氧丙烷嵌段共聚物及其組合。
  14. 如請求項13之方法,其進一步包含將至少一種可沈積材料沈積於該可再使用之基板上,其中該至少一種可沈積材料選自由以下組成之群:低-k介電質、高-k介電質、蝕刻終止材料、金屬堆疊材料、障壁層材料、鐵電材料、矽化物材料、氮化物材料、氧化物材料、光阻劑、底部抗反射塗層(BARC)、犧牲性抗反射塗層(SARC)、及其組合。
  15. 如請求項13之方法,其中該移除組合物進一步包含至少一種螯合劑。
  16. 如請求項13或15之方法,其中該移除組合物進一步包含至少一種氧化劑。
  17. 如請求項13之方法,其中該至少一種蝕刻劑包含HF。
  18. 如請求項13之方法,其中該至少一種蝕刻劑包含HF,且其中該至少一種界面活化劑/聚合物源包含選自由以下組成之群之物質:二陰離子磺酸鹽界面活化劑、PPG-PEG- PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、及其組合。
  19. 如請求項15之方法,其中該至少一種螯合劑包含選自由以下組成之群之物質:乙醯丙酮酸鹽、1,1,1-三氟-2,4-戊二酮、1,1,1,5,5,5-六氟-2,4-戊二酮、甲酸鹽、乙酸鹽、雙(三甲基甲矽烷基醯胺)四聚體、甘胺酸、絲胺酸、脯胺酸、亮胺酸、丙胺酸、天冬醯胺、天冬胺酸、麩胺醯胺、纈胺酸、離胺酸、檸檬酸、乙酸、馬來酸、草酸、丙二酸、琥珀酸、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥基乙烷-1,1-二膦酸、氮基-參(亞甲基膦酸)、氮基三乙酸、亞胺基二乙酸、依替膦酸(etidronic acid)、乙二胺、乙二胺四乙酸(EDTA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙醇二甲醚、五甲基二伸乙基三胺(PMDETA)、1,3,5-三嗪-2,4,6-三硫醇三鈉鹽溶液、1,3,5-三嗪-2,4,6-三硫醇三銨鹽溶液、二乙基二硫代胺基甲酸鈉、經二取代之二硫代胺基甲酸鹽、硫酸銨、單乙醇胺(MEA)、Dequest 2000、Dequest 2010、Dequest 2060、二伸乙基三胺五乙酸、丙二胺四乙酸、2-羥基吡啶1-氧化物、乙二胺二琥珀酸、三磷酸五鈉、及其組合。
  20. 如請求項15之方法,其中該至少一種螯合劑包含膦酸衍生物。
  21. 如請求項13之方法,其中該移除組合物實質上不含有機溶劑及胺。
TW098133617A 2008-10-02 2009-10-02 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用 TWI485110B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10235208P 2008-10-02 2008-10-02
US14498609P 2009-01-15 2009-01-15

Publications (2)

Publication Number Publication Date
TW201022148A TW201022148A (en) 2010-06-16
TWI485110B true TWI485110B (zh) 2015-05-21

Family

ID=42074210

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098133617A TWI485110B (zh) 2008-10-02 2009-10-02 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用

Country Status (6)

Country Link
EP (1) EP2342738A4 (zh)
JP (1) JP2012504871A (zh)
KR (1) KR20110063845A (zh)
CN (1) CN102217042A (zh)
TW (1) TWI485110B (zh)
WO (1) WO2010039936A2 (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US20120091100A1 (en) 2010-10-14 2012-04-19 S.O.I.Tec Silicon On Insulator Technologies Etchant for controlled etching of ge and ge-rich silicon germanium alloys
CN102533124A (zh) * 2010-12-31 2012-07-04 上海硅酸盐研究所中试基地 碳化硅衬底用抛光液
DE102011000322A1 (de) 2011-01-25 2012-07-26 saperatec GmbH Trennmedium, Verfahren und Anlage zum Trennen von Mehrschichtsystemen
JP2012238849A (ja) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc 改良された多結晶テクスチャ化組成物および方法
WO2013028802A1 (en) * 2011-08-22 2013-02-28 1366 Technologies Inc Formulation for acidic wet chemical etching of silicon wafers
JP5913869B2 (ja) * 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
JP5548224B2 (ja) * 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
WO2013169884A1 (en) * 2012-05-10 2013-11-14 Corning Incorporated Glass etching media and methods
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
US9879357B2 (en) 2013-03-11 2018-01-30 Tivra Corporation Methods and systems for thin film deposition processes
US9487885B2 (en) 2012-06-14 2016-11-08 Tivra Corporation Substrate structures and methods
JP2014057039A (ja) * 2012-08-10 2014-03-27 Fujifilm Corp 半導体基板製品の製造方法及びエッチング液
US8821215B2 (en) * 2012-09-07 2014-09-02 Cabot Microelectronics Corporation Polypyrrolidone polishing composition and method
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
KR102241109B1 (ko) * 2013-03-21 2021-04-16 디아이씨 가부시끼가이샤 소포제, 계면활성제 조성물, 코팅 조성물 및 레지스트 조성물
KR101812085B1 (ko) * 2013-05-02 2017-12-27 후지필름 가부시키가이샤 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
KR20150140329A (ko) * 2013-05-02 2015-12-15 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액, 및 반도체 기판 제품의 제조 방법
JP6198672B2 (ja) * 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
KR102338550B1 (ko) * 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
US9633831B2 (en) * 2013-08-26 2017-04-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition for polishing a sapphire surface and methods of using same
EP2843723B1 (en) * 2013-08-29 2018-01-31 Sumitomo Chemical Company Limited Method for manufacturing niobate-system ferroelectric thin film device
JP6366952B2 (ja) * 2013-08-29 2018-08-01 住友化学株式会社 ニオブ酸系強誘電体薄膜素子の製造方法
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US9416297B2 (en) 2013-11-13 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing method using slurry composition containing N-oxide compound
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI558850B (zh) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 電子零件用處理液及電子零件之製造方法
US9567493B2 (en) * 2014-04-25 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. CMP slurry solution for hardened fluid material
CN107148664B (zh) * 2014-11-13 2020-12-08 三菱瓦斯化学株式会社 用于清洗半导体元件的包含碱土金属的清洗液、和使用其的半导体元件的清洗方法
CN104498951B (zh) * 2014-12-11 2017-05-17 深圳新宙邦科技股份有限公司 一种双氧水系铜钼合金膜用蚀刻液
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6501218B2 (ja) * 2015-03-18 2019-04-17 株式会社Adeka エッチング液組成物及びエッチング方法
WO2017007893A1 (en) 2015-07-09 2017-01-12 Entegris, Inc. Formulations to selectively etch silicon germanium relative to germanium
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
KR101806882B1 (ko) * 2015-08-20 2018-01-10 주식회사 태크녹스 태양광 전지 패널 세정용 조성물 및 이를 이용한 자가 세정 태양광 전지 패널
JP2017216444A (ja) * 2016-05-31 2017-12-07 ナガセケムテックス株式会社 エッチング液
CN106222756A (zh) * 2016-09-30 2016-12-14 杭州飞鹿新能源科技有限公司 用于金刚线切割单晶硅片制绒的添加剂及其应用方法
KR102404226B1 (ko) * 2016-10-31 2022-06-02 주식회사 이엔에프테크놀로지 식각 조성물
CN109988509B (zh) * 2017-12-29 2021-07-09 浙江新创纳电子科技有限公司 一种钽酸锂还原片抛光液及其制备方法和用途
TWI838356B (zh) 2018-01-25 2024-04-11 德商馬克專利公司 光阻移除劑組合物
US11678433B2 (en) 2018-09-06 2023-06-13 D-Wave Systems Inc. Printed circuit board assembly for edge-coupling to an integrated circuit
US11873564B2 (en) * 2018-10-02 2024-01-16 Hutchinson Technology Incorporated Etch chemistry for metallic materials
CN115651656A (zh) * 2018-12-03 2023-01-31 富士胶片电子材料美国有限公司 蚀刻组合物
US11647590B2 (en) 2019-06-18 2023-05-09 D-Wave Systems Inc. Systems and methods for etching of metals
WO2021005980A1 (ja) 2019-07-05 2021-01-14 富士フイルム株式会社 組成物、キット、基板の処理方法
CN113122147B (zh) * 2019-12-31 2024-03-12 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其使用方法
KR102192954B1 (ko) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
TWI741911B (zh) * 2020-12-16 2021-10-01 環球晶圓股份有限公司 磊晶層去除方法
CN112812076B (zh) * 2021-01-13 2022-02-01 西南石油大学 一种双磺酸基表面活性剂、清洁压裂液及其制备方法
CN112967930B (zh) * 2021-02-07 2023-05-12 西安微电子技术研究所 一种SiC晶圆的金属化层剥离方法
CN113150752A (zh) * 2021-03-04 2021-07-23 山东祺龙海洋石油钢管股份有限公司 穿越用高效携砂剂
CN113448185B (zh) * 2021-07-22 2022-08-05 福建省佑达环保材料有限公司 一种硅基oled制程中使用的显影液组合物
CN113463105A (zh) * 2021-08-06 2021-10-01 立邦涂料(重庆)化工有限公司 一种高渗透铝翅片环保清洗剂
CN113690401B (zh) * 2021-10-26 2021-12-31 中南大学 一种有机磷酸锌-硅烷复合钝化膜改性锌金属负极及其制备方法与应用
CN114264623A (zh) * 2021-12-22 2022-04-01 河北新立中有色金属集团有限公司 铸造铝合金中痕量磷的测定方法
WO2024127794A1 (ja) * 2022-12-13 2024-06-20 株式会社ダイセル 表面処理剤、及びエッチングされたシリコン基板の製造方法
CN116023945B (zh) * 2022-12-27 2024-06-07 浙江奥首材料科技有限公司 蚀刻液组合物、蚀刻液及其制备方法
CN115960609B (zh) * 2022-12-30 2023-10-24 浙江奥首材料科技有限公司 一种高选择性蚀刻晶圆表面氧化层的蚀刻液、其制备方法及用途

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050081883A1 (en) * 2003-10-17 2005-04-21 Yong-Kyun Ko Etching composition, method of preparing the same, method of etching an oxide film, and method of manufacturing a semiconductor device
US20060138399A1 (en) * 2002-08-22 2006-06-29 Mitsushi Itano Removing solution
US20060172907A1 (en) * 2005-02-01 2006-08-03 Samsung Electronics Co., Ltd. Microelectronic cleaning agent(s) and method(s) of fabricating semiconductor device(s) using the same
EP1975987A2 (en) * 2007-03-31 2008-10-01 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803956A (en) * 1994-07-28 1998-09-08 Hashimoto Chemical Company, Ltd. Surface treating composition for micro processing
US6033996A (en) * 1997-11-13 2000-03-07 International Business Machines Corporation Process for removing etching residues, etching mask and silicon nitride and/or silicon dioxide
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
KR100390553B1 (ko) * 2000-12-30 2003-07-07 주식회사 동진쎄미켐 근적외선 분광기를 이용한 금속막 에칭 공정 제어방법 및에쳔트 조성물의 재생방법
US6900003B2 (en) * 2002-04-12 2005-05-31 Shipley Company, L.L.C. Photoresist processing aid and method
JP4241018B2 (ja) * 2002-12-06 2009-03-18 メック株式会社 エッチング液
CN1875142A (zh) * 2003-10-29 2006-12-06 宝洁公司 织物制品处理系统和方法
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
DE102005038358A1 (de) * 2005-08-11 2007-02-15 Basf Ag Verfahren zur Herstellung von Pigmentzubereitungen

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138399A1 (en) * 2002-08-22 2006-06-29 Mitsushi Itano Removing solution
US20050081883A1 (en) * 2003-10-17 2005-04-21 Yong-Kyun Ko Etching composition, method of preparing the same, method of etching an oxide film, and method of manufacturing a semiconductor device
US20060172907A1 (en) * 2005-02-01 2006-08-03 Samsung Electronics Co., Ltd. Microelectronic cleaning agent(s) and method(s) of fabricating semiconductor device(s) using the same
EP1975987A2 (en) * 2007-03-31 2008-10-01 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation

Also Published As

Publication number Publication date
TW201022148A (en) 2010-06-16
KR20110063845A (ko) 2011-06-14
EP2342738A2 (en) 2011-07-13
WO2010039936A3 (en) 2010-07-01
JP2012504871A (ja) 2012-02-23
WO2010039936A2 (en) 2010-04-08
EP2342738A4 (en) 2013-04-17
CN102217042A (zh) 2011-10-12

Similar Documents

Publication Publication Date Title
TWI485110B (zh) 使用界面活化劑/消泡劑混合物以增強矽基板之金屬負載及表面鈍化作用
US20100112728A1 (en) Methods for stripping material for wafer reclamation
EP1975987A2 (en) Methods for stripping material for wafer reclamation
TWI513799B (zh) 用於回收具有低k介電材料之半導體晶圓的組成物及方法
WO2008157345A2 (en) Wafer reclamation compositions and methods
TWI299885B (en) Post chemical-mechanical planarization (cmp) cleaning composition
CN100499018C (zh) 用于从物体的微结构中清除残余物的方法和组合物
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7919445B2 (en) Aqueous solution for removing post-etch residue
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20020037820A1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US20040266635A1 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
TWI434149B (zh) 洗淨用組成物、半導體元件之製法
WO2018067763A1 (en) Cleaning formulations for removing residues on semiconductor substrates
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
CN111225965B (zh) 蚀刻组合物
WO2017165637A1 (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
US20100120256A1 (en) Method for removing etching residues from semiconductor components
TW201730326A (zh) 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑
KR20230056740A (ko) 세정 조성물
JP2015203047A (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
Mittal KAREN A. REINHARDT
JP2012518715A (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees