KR20090086638A - 중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극 - Google Patents

중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극

Info

Publication number
KR20090086638A
KR20090086638A KR1020097014104A KR20097014104A KR20090086638A KR 20090086638 A KR20090086638 A KR 20090086638A KR 1020097014104 A KR1020097014104 A KR 1020097014104A KR 20097014104 A KR20097014104 A KR 20097014104A KR 20090086638 A KR20090086638 A KR 20090086638A
Authority
KR
South Korea
Prior art keywords
electrode body
gas
plasma
situ
chamber
Prior art date
Application number
KR1020097014104A
Other languages
English (en)
Inventor
알렉산더 엠. 패터슨
존 피. 홀란드
테오도로스 파나고푸로스
에드워드 피. 4세 해먼드
브라이언 케이. 해쳐
발렌틴 엔. 토도로
댄 카츠
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090086638A publication Critical patent/KR20090086638A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 반응기가 반도체 웨이퍼 또는 유전체 마스크와 같은 제품을 처리하기 위해 제공된다. 반응기 챔버는 천장, 측벽 및 챔버 내부의 제품 지지 페데스탈을 가지며 대칭 축선을 따라 천장과 직면하고 페데스탈과 천장 사이에 챔버 용적을 형성한다. RF 플라즈마 소스 전력 인가기가 천장에 제공된다. 인-시츄 전극 바디가 챔버 내부에 배치되어 챔버를 상부 및 하부 챔버 영역으로 분리한다. 인-시츄 전극은 상기 축선을 따라 연장하고 상이한 개구 크기를 가지는 복수의 유동 관통 통로를 포함하며, 통로는 인-시츄 전극 바디를 통하여 가스 유동 저항의 원하는 방사형 분포에 따라 개구 크기에 의해 방사형으로 분포한다.

Description

중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극 {MID-CHAMBER GAS DISTRIBUTION PLATE, TUNED PLASMA CONTROL GRID AND ELECTRODE}
반도체 웨이퍼와 같은 제품에 걸친 플라즈마 공정 균일도는 플라즈마 이온 분포 및 공정 가스 유동 분포의 비 균일도에 의해 제한된다. 웨이퍼에 걸친 공정 균일도를 개선하기 위한 노력은 플라즈마 소스 전력의 방사형 분포를 변화시키고 그리고(또는) 챔버 내의 가스 유동의 방사형 분포의 변화를 수반한다. 이 같은 변화는 통상적으로 챔버 천창에서 또는 챔버 천장 위에서 수행되며, 이는 플라즈마 소스 전력 인가 장치(plasma source power applicator apparatus)가 대체로 천장에 또는 천장의 상부에 있으며 공정 가스 주입 장치가 대체로 천장 내에 있는 가스 분배판이기 때문이다. 하나의 문제점은 천장으로부터 웨이퍼로의 거리가 대체로 분포 결과를 플라즈마 이온의 원하는 분포 및 (또는) 천장에서 실현되는 이상과 웨이퍼 표면에서의 실제 상태 사이의 공정 가스 유동을 찌그러지게 하기에 충분하다는 것이다. 따라서, 플라즈마 공정 균일도가 개선될 수 있는 정도는 웨이퍼 대 천장 갭에 의해 상당히 제한된다.
플라즈마 공정 제어는 플라즈마 내의 화학물 종의 해리(dissociation)에 의해 영향을 받는다. 해리의 정도는 (다른 것 중에서)예를 들면 RF 플라즈마 소스 전력 레벨의 선택에 의해 결정된다. 통상적으로, 더 무겁거나 더 복잡한 분자 종이 간단한 분자 종 보다 다소 적게 해리될 수 있지만, 해리 정도는 챔버 내의 모든 가스 화학물 종에 영향을 미쳐서, 대체로 동일한 정도의 해리가 챔버 내의 모든 종에 발생된다. 결과적으로, 반응기 챔버 내의 상이한 화학물 종의 해리를 개별적으로 제어하는 것이 대체로 가능하지 않다. 예를 들면, 높은 정도의 해리가 하나의 화학물 종에 대해 바람직한 경우, 챔버 내에 존재하는 모든 종들은 상당한 정도의 해리를 경험하게 된다. 이 같은 경우, 예를 들면, 더욱 복잡한 종들 조차, 챔버 내에 존재하는 모든 종을 적어도 개별적으로 해리하지 않고 챔버 내의 하나의 화학물 종을 해리하는 것이 가능하지 않을 수 있다. 따라서, 에치 공정을 제어하는 능력은 해리에 걸친 소정의 개별적인 제어의 결함에 의해 제한된다.
플라즈마 공정 제어는 또한 웨이퍼 표면에서 RF 전기장에 의해 영향을 받는다. 통상적으로, 웨이퍼 표면에서의 RF 전기장은 챔버의 전도성 표면에 대해 웨이퍼의 포텐셜(potential)에 의해 제어된다. 이 같은 제어는 측벽이 웨이퍼 에지에 가장 근접하게 그리고 웨이퍼 중앙으로부터 가장 멀리 위치하기 때문에 제한되며, 따라서 불균일도를 형성할 수 있다. 전체 웨이퍼에 대한 균일한 전도 평면이 존재하는 천장은 웨이퍼 상에 균일한 필드(field)가 있어야 하지만 원하지 않는 뒤틀림을 허용할 수 있는 웨이퍼 대 천장 갭에 의해 웨이퍼로부터 변위된다.
도 1은 인-시츄 전극을 가지는 플라즈마 반응기의 단순화된 도면이며,
도 2는 더 상세하게 도시된 유사한 반응기이며,
도 3A, 3B, 3C 및 3D는 도 1의 반응기의 인-시츄 전극의 상이한 실시예들의 평면도이며,
도 4는 도 3A, 3B, 3C 또는 3D의 인-시츄 전극들 중 하나의 평면도이며,
도 5 및 도 6은 각각 도 1의 반응기의 인-시츄 전극의 또 다른 실시예의 사시도 및 평면도이며,
도 7은 도 5 및 6의 인-시츄 전극의 선택적인 피쳐(feature)를 보여주며,
도 8은 내측 및 외측 내부 가스 유동 매니폴드 및 가스 유입 오리피스를 도시하는 도 5 및 도 6의 인-시츄 전극의 상세한 평면도이며,
도 9는 도 8에 대응하는 부분 절개 단면도이며,
도 10 및 도 11은 도 5 및 도 6의 인-시츄 전극의 하나의 가능한 실시를 보여주는 도면이며,
도 12A, 12B, 12C, 12D 및 12E는 도 1의 반응기의 인-시츄 전극의 상이한 단면도이다.
플라즈마 반응기는 반도체 웨이퍼 또는 유전체 마스크와 같은 제품을 처리하기 위해 제공된다. 하나의 양태에서, 반응기 챔버는 천장, 측벽 및 챔버 내부에서 대칭 축선을 따라 천장 쪽을 향하여 페데스탈과 천장 사이에 챔버 용적을 형성하는 제품 지지 페데스탈을 가진다. RF 플라즈마 소스 전력 인가기가 천장에 제공된다. 챔버 내부의 인-시츄 전극체(in-situ electrode body)는 챔버를 상부 및 하부 챔버 영역으로 분리한다. 인-시츄 전극은 축선에 대해 평행하게 연장하고 상이한 개구 크기를 가지는 복수의 유동 관통 통로를 가진다. 통로는 인-시츄 전극체를 통한 가스 유동 저항의 원하는 방사형 분포에 따라 개구 크기에 의해 방사형으로 분포된다. 인-시츄 전극은 바디 내부에 전도성 전극 요소를 더 가지며 복수의 유동 관통 통로에 의해 제공된다(permeate). 전기 단자는 전도성 전극 요소에 결합된다.
하나의 양태에서, 인-시츄 전극체는 내부 및 외부 동심 가스 매니폴드를 가지며, 각각 자체 외부 가스 공급 포트로 결합된다. 인-시츄 전극체의 바닥면 내의 가스 주입 오리피스의 내부 및 외부 동심 존(zone)은 내부 및 외부 가스 매니폴드에 결합된다.
또 다른 양태에서, D.C. 전압 소스(source), 그라운드 또는 RF(VHF) 전압 소스와 같은, 전압 소스는 인-시츄 전극체에 결합될 수 있다. 인-시츄 전극체는 세라믹 재료와 같은 절연 재료로 형성될 수 있으며 인-시츄 전극체 내에 전도층을 가질 수 있다. 전체 인-시츄 전극체는 자체적으로 도핑 세라믹(doped ceramic)과 같은 반도체 재료일 수 있다.
본 발명의 전형적인 실시예가 달성되고 자세하게 이해될 수 있는 방식으로 위에서 간단히 요약된 본 발명의 더욱 특별하고 상세한 설명이 첨부된 도면에서 도시되는 실시예들을 참조할 수 있다. 소정의 널리 공지된 공정들은 본 발명을 복잡하게 하지 않도록 본 명세서에서 논의하지 않는다.
이해를 용이하게 하기 위하여, 도면들에 대해 공통하는 동일한 요소를 나타내기 위하여 가능하게는 동일한 도면 부호가 이용된다. 일 실시예의 요소 및 특징은 추가의 인용없이 다른 실시예들에 유용하게 결합될 수 있다는 것이 고려된다. 그러나, 첨부된 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며, 본 발명에 대해 다른 동일한 효과의 실시예를 인정할 수 있다.
도 1은 제품 지지 페데스탈(25) 상에 지지되는 제품(workpiece; 20)을 처리하기 위한 플라즈마 반응기 챔버(15) 내의 인-시츄 전극/가스 분배판(10)을 개념적으로 도시한다. RF 플라즈마 소스 전력 인가기가 제공되며, 이는 챔버 천창(30)(전극으로서 작용) 또는 천창(30) 위에 놓이는 코일 안테나(35)일 수 있다. 플라즈마(37)는 전극/판(10) 위의 챔버(15)의 상부 영역(15a) 내에 형성된다. 인-시츄 전극/가스 분배판(10)은 도 3A, 3B, 3C, 또는 3D에 도시되는 패턴들 중 하나에 따라 통로(72)를 가져서 플라즈마가 통로를 통과하여 챔버(15)의 상부 챔버 영역(15a)으로부터 하부 영역(15b)으로 통과하는 것을 허용한다. 이는 하부 영역(15b) 내에 형성하기 위한 적은 플라즈마(낮은 밀도 플라즈마)를 허용한다. 인-시츄 전극/가스 분배판(10)은 유전체 재료로 형성될 수 있고 내부에 형성되는 전도층(44)(도 1에서 점선)을 가진다. 전도층(44)은 RF 전원(80)(임피던스 정합부(impedance match; 82)과 같은 전극 포텐셜 또는 접지부에 연결될 수 있다. 접지부에 연결되는 경우, 이어서 인-시츄 전극(10)(특히, 전도층(44))은 페데스탈(25)로 인가되는 RF 바이어스 전력에 대한 접지 기준(ground reference)을 제공할 수 있다. 이와 달리(또는 부가하여), 전도층(44)으로 인가되는 VHF 전력은 하부 챔버 영역(15b) 내의 플라즈마 이온 발생을 증진할 수 있다.
도 2는 하나의 타입의 플라즈마 반응기의 일 예이며, 플라즈마 반응기에는 도 1의 인-시츄 전극(10)이 적용될 수 있다. 도 2의 반응기는 반도체 웨이퍼일 수 있는 제품(102)을 처리하기 위한 것이며 제품은 상승 서보(105)에 의해 (선택적으로) 상승 및 하강될 수 있는 제품 지지부(103) 상에 홀딩된다. 반응기는 챔버 측벽(106) 및 천장(108)에 의해 경계가 형성되는 챔버(104)로 이루어진다. 천장(108)은 내부면에 소형 가스 주입 오리피스(110)를 가지는 가스 분배 샤워헤드(109)를 포함할 수 있으며, 샤워헤드(109)는 공정 가스 공급원(112)으로부터 공정 가스를 수용한다. 또한, 공정 가스는 가스 주입 노즐(113)을 통하여 도입될 수 있다. 반응기는 유도 결합되는 RF 플라즈마 소스 전력 인가기(114) 및 전기용량적으로 결합되는 RF 플라즈마 소스 전력 인가기(116) 둘다 포함한다. 유도 결합되는 RF 플라즈마 소스 전력 인가기(114)는 천장(108) 위에 놓이는 코일 또는 유도성 안테나일 수 있다. 챔버(104) 내로 유도성 커플링을 허용하도록, 가스 분배 샤워헤드(109)는 세라믹과 같은 유전체 재료로 형성될 수 있다. VHF 전기용량 결합 소스 전력 인가기(116)는 천장(108) 내에 또는 제품 지지부(103) 내에 위치될 수 있는 전극이다. 선택적인 일 실시예에서, 전기용량 결합 소스 전력 인가기(116)는 제품 지지부(103) 내의 전극 및 천장(108) 내의 전극으로 이루어져, RF 소스 전력은 천장(108) 및 제품 지지부(103) 둘다로부터 전기용량적으로 결합될 수 있다. (전극이 천장(108) 내에 있는 경우, 이때 전극은 오버헤드 코일 안테나로부터 챔버(104) 내로 유도 결합되는 것을 허용하기 위한 다중 슬롯을 가질 수 있다.) RF 전력 발생기(118)는 선택적인 임피던스 정합 요소(120)를 통하여 유도 결합 소스 전력 인가기(114)로 고주파수(HF) 전력(예를 들면, 약 10 MHz 내지 27 MHz 범위 내)을 제공한다. 또 다른 RF 전력 발생기(122)는 선택적인 임피던스 정합 요소(124)를 통하여 전기용량 결합 전력 인가기(116)로 매우 높은 주파수(VHF) 전력(예를 들면, 약 27 MHz 내지 200 MHz 내)을 제공한다.
플라즈마 이온을 발생하는데 있어서 전기용량 결합 전력 소스 인가기(116)의 효율은 VHF 주파수가 증가할 때 증가하며, 주파수 범위는 바람직하게는 감지가능한 전기 용량성 커플링이 발생하도록 VHF 영역 내에 놓인다. 도 2에서 표시된 바와 같이, RF 전력 인가기(114, 116) 모두로부터의 전력은 제품 지지부(103) 위에 형성되는 챔버(104) 내의 벌크 플라즈마(bulk plasma; 126)로 연결된다. RF 플라즈마 바이어스 전력은 (예를 들면) 제품 지지부(103) 내부 및 웨이퍼(102)가 위에 놓이는 전극(130)으로 연결되는 RF 바이어스 전력 공급원으로부터 제품(102)으로 전기용량적으로 결합된다. RF 바이어스 전력 공급원은 저 주파수(LF) RF 전력 발생기(132) 및 중간 주파수(MF) 또는 고주파수(HF) RF 전력 발생기 중 어느 하나일 수 있는 또 다른 RF 전력 발생기(134)를 포함할 수 있다. 임피던스 정합 요소(136)는 바이어스 전력 발생기(132, 134)와 제품 지지 전극(130) 사이에 결합된다. 진공 펌프(160)는 비움율(evacuation rate)을 조절하기 위해 이용될 수 있는 밸브(162)를 통하여 챔버(104)로부터 공정 가스를 비운다. 밸브(162)를 통한 비움율 및 가스 분배 샤워헤드(109)를 통한 유입 가스 유량은 챔버 내의 공정 가스 잔류 시간 및 챔버 압력을 결정한다.
플라즈마 이온 밀도는 유도 결합 전력 인가기(114) 또는 VHF 전기용량 결합 전력 인가기(116)가 증가할 때 증가한다. 그러나, 벌크 플라즈마 및 중앙-저 방사형 이온 밀도 분포 내에서 유도 결합 전력이 이온 및 래디컬의 더 많은 해리를 촉진한다는 점에서 상기 인가기들은 상이하게 작동한다. 대조하면, VHF 전기용량 결합 전력은 적은 해리 및 중앙의 높은 방사형 이온 분포를 촉진하며, 더욱이 VHF 주파수가 증가할 때 더 큰 이온 밀도를 제공한다.
유도 및 전기용량 결합 전력 인가기는 공정 요구에 따라 조합하여 또는 개별적으로 이용될 수 있다. 일반적으로, 조합하여 이용될 때, 유도 결합 RF 전력 인가기(116)는 전력을 동시에 플라즈마로 연결하며, LF 및 HF 바이어스 전력 발생기는 동시에 바이어스 전력을 웨이퍼 지지 전극(130)으로 제공한다. 이러한 소스들의 동시 작동은 플라즈마 이온 밀도, 플라즈마 이온 방사형 분포(균일도), 플라즈마의 화학적 종 함량 또는 해리, 피복물 이온 에너지 및 이온 에너지 분포(폭)와 같은, 가장 중요한 플라즈마 처리 매개변수의 독립 조정을 가능하게 한다. 이를 위해, 소스 전력 제어기(140)는 벌크 플라즈마 이온 밀도, 플라즈마 이온 밀도의 방사형 분포 및 플라즈마 내의 래디컬 및 이온의 해리를 제어하기 위하여 서로 관계없이(예를 들면, 전력비를 제어하도록) 소스 전력 발생기(118, 122)를 제어한다. 제어기(140)는 각각의 RF 발생기(118, 122)의 출력 전력 레벨을 독립적으로 제어할 수 있다. 또한, 또는 선택적으로, 제어기(140)는 각각의 듀티 사이클을 독립적으로 제어 또는 VHF 발생기(122) 및 선택적으로 HF 발생기(118)의 주파수를 제어 및 둘다 또는 하나의 RF 발생기(118, 122)의 RF 출력을 펄싱(pulsing)할 수 있다. 또한, 바이어스 전력 제어기(142)는 이온 에너지 레벨 및 이온 에너지 분포의 폭 모두를 독립적으로 제어하기 위하여 바이어스 전력 발생기(132, 134) 각각의 출력 전력 레벨을 제어한다.
도 2의 반응기 내의 인-시츄 전극(10)은 제품 지지부 페데스탈(103) 및 천장(108) 사이의 평면 내에 설치된다. 하나의 양태에서, 인-시츄 전극(10)은 세라믹(예를 들면, 알루미늄 질화물)과 같은, 절연 재료로 형성된다.
도 3A 내지 도 3D를 참조하면, 인-시츄 전극 통로(72)는 라운드형 또는 원형일 수 있어 균일한 직경일 수 있거나(도 3A 및 도 3D), 반지름 위치에 따라 증가하는 직경의 패턴일 수 있거나(도 3B) 반지름 위치에 따라 감소하는 직경의 패턴일 수 있거나(도 3C), 예를 들면 중앙에서 더 큰 밀도를 가지고 외측 반경에서 가장 작은 밀도를 가지는, 통로들(72) 사이의 거리가 비 균일할 수 있다(도 3D).
도 4를 참조하면, 도 4의 인-시츄 전극(10)의 내부 피쳐(feature)는 내부 및 외부 가스 매니폴드(62, 64), 인-시츄 전극(10)의 바닥면(70)에 가스 주입 오리피스(69)의 내부 및 외부 그룹(66, 68), 및 플라즈마가 상부 챔버 영역(15a)으로부터 인-시츄 전극(10)을 통하여 도 1의 하부 챔버 영역(15b)으로 유동하는 것을 허용하는 인-시츄 전극(10)을 통하여 형성된 축방향 통로(72)를 더 포함한다. 도 3B 및 도 3C에 도시된 바와 같이, 통로(72)의 크기 및 면적은 인-시츄 전극(10)을 통하여 유량 분포에서의 비 균일도를 도입하도록, 인-시츄 전극(10) 상의 방사형 위치의 함수로서 변화될 수 있다. 이러한 유량 분포 비균일도는 오프셋되도록 또는 원래 반응기 내에 있는 플라즈마 이온 밀도 비 균일도를 정밀하게 보상하도록 선택될 수 있다. 도시된 예에서, 통로 크기의 방사형 분포는 가장 작은 통로(72)가 중앙에 가장 근접하게 위치하도록 하며 가장 큰 통로는 주변에 가장 근접하게 위치하도록 한다. 이는 중앙에서 높은 플라즈마 이온 밀도의 방사형 분포를 보상한다. 물론, 통로 크기의 또 다른 분포가 원하는 효과 및 반응기 특성에 따라 선택될 수 있다.
도 2의 반응기는 인-시츄 전극(10)의 내부 및 외부 가스 매니폴드(62, 64)의 각각에 결합되는 도 4에 도시되는 내부 및 외부 공정 가스 공급원(76, 78)을 더 포함한다. 도 1에 도시된 바와 같이, RF 전력 발생기(80)는 임피던스 정합부(82)를 통하여 인-시츄 전극(10)의 전도층(44)에 결합된다. 이와 달리, 전도층(44)은 접지부에 결합될 수 있다. 또는 전도층(44)은 D.C. 전압 소스에 결합될 수 있다.
인-시츄 전극(10)의 존재는 각각 인-시츄 전극(10)의 위 및 아래의 두 개의 영역(15a, 15b) 내에서 상이한 공정 조건을 형성한다. 상부 챔버 영역(15a)은 인-시츄 전극 통로(72)를 통한 가스 유동 제한에 의해 더 큰 챔버 압력을 가지며, 유도 결합 플라즈마 소스를 더 선호한다. 플라즈마 밀도 및 전자 온도는 상부 챔버 영역(15a) 내에서 보다 더 크며, 이는 상부 챔버(15a) 내의 화학물 종의 더 큰 해리를 초래한다. 하부 챔버 내에서의 해리는 매우 적으며 이는 전자 온도가 낮으며, 플라즈마 이온 밀도가 낮으며 압력이 낮기 때문이다. 더욱이, 하부 챔버 영역(15b)의 낮은 압력 때문에, 충돌이 적어서, 이온 궤적이 웨이퍼 표면 근처의 수직 방향 주위에 더욱 좁게 분포되도록 하며 이는 중요한 장점이 된다.
하나의 양태에 따라, 도 2의 반응기는 소정의 선택된 화학물 종이 많이 해리되는 유일한 공정을 수행하도록 적용될 수 있으며 나머지의 화학물 종은 그렇지 않다. 이는 높은 정도의 해리가 천장 가스 분배판(108b)을 통하여 발생되는 화학물 종을 도입하고 내부 및 외부 가스 공급원(76, 78) 둘다 또는 어느 하나로부터 인-시츄 전극/가스 분배판(10)으로 해리가 거의 일어나지 않거나 일어나지 않는 다른 화학물 종을 도입함으로써 수행된다. 예를 들면, 고 반응성의 에칭 종은 상부 영역(15a)에서 고 밀도 플라즈마로 해리되는, 천장 가스 분배판(108b)을 통하여 더 간단한 플루오로-탄소 가스를 도입함으로써 생성될 수 있다. 매우 복잡한 고 탄소 종(carbon-rich species)은 가스 공급원(76, 78)으로부터 인-시츄 전극(10)으로 복합 플루오로-탄소 종을 도입함으로써 생성될 수 있으며, 플루오로-탄소 종은 해리되지 않거나 거의 해리되지 않으면서 제품 표면에 도달할 수 있다. 이는 제품이 도달하는 종의 해리 범위를 상당히 증가시켜 실제로 해리가 없고(인-시츄 전극(10)을 통하여 도입되는 종에 대해) 완전히 또는 상당히 해리된 종(천장 가스 분배판(108b)을 통하여 도입된 종에 대해)을 포함하도록 한다. 또한, 독립적인 두 개의 세트의 종의 해리를 제어한다. 이 같은 독립 제어는 상부 및 하부 챔버 영역(15a, 15b) 내에서 상이한 공정 상태를 형성함으로써 달성된다. 상부 영역(15a) 내의 해리는 예를 들면 코일 안테나(들)(114) 또는 천장 전극(116)으로 인가되는 RF 소스 전력을 변화시킴으로써 제어될 수 있다. 대체로, 두 개의 영역(15a, 15b)의 각각 내의 해리는 RF 플라즈마 소스 전력 레벨(예를 들면, RF 발생기(118, 124)) 및 챔버 압력(진공 펌프(160)을 제어함으로써) 및 상이한 영역(15a, 15b)으로의 가스 유량을 제어함으로써 제어된다.
인-시츄 전극/가스 분배판(10)은 천장 가스 분배판(108b) 보다 제품 또는 웨이퍼(102)에 더 근접하기 때문에, 제품 표면에 걸친 활성종의 방사형 분포는 확산이 매우 작기 때문에 내부 및 외부 가스 매니폴드(62, 64) 사이의 변화 가스 유동 배분에 매우 많이 민감하다. 제품(102)에 대해 인-시츄 전극(10)가 매우 근접함으로써 제품 표면에 걸친 플라즈마 이온의 분포가 인-시츄 전극(10)의 축방향 개구(72)를 통과하는 플라즈마 유동의 분배에 매우 민감하게 된다. 따라서, 제품 표면에 걸친 에치율의 방사형 분포가 인-시츄 전극의 내부 및 외부 매니폴드(62, 64)로의 할당되는 공정 가스에 의해 그리고 인-시츄 전극(10)에 걸친 축방향 개구(72)의 개구 크기의 비 균일한 분포를 제공함으로써 개선될 수 있다(예를 들면, 더욱 균일한 분포로).
상부 및 하부 챔버 영역(15a, 15b)의 각각의 용적 또는 높이는 예를 들면 액츄에이터(105)를 이용하여 지지 페데스탈(103) 또는 인-시츄 전극(10)을 상승 또는 하강시킴으로써 조정될 수 있다. 웨이퍼(102)로부터 인-시츄 전극(10)으로의 거리를 감소시킴으로써, 전극-대-웨이퍼 경로 길이는 제품과 인-시츄 전극(10) 사이의 전기장에 의해 설정되는 원하는 수직 궤적으로부터 이온을 편향시키는 충돌을 감소시키기 위해 감소된다. 상부 챔버 영역(15a)의 용적은 유도 결합 플라즈마 소스 전력 인가기(114)의 작동을 최적화하도록 조정될 수 있다. 이러한 방식으로, 두 개의 챔버 영역(15a, 15b)은 완전히 상이한 공정 상태를 가질 수 있다. 상부 영역(15a)은 최대 해리를 위한 최대 이온 밀도 및 최대 용적, 고압 및 공정 가스 종의 자체 세트(예를 들면, 더 가볍거나 더 간단한 플루오르화 탄소)을 가질 수 있으며 하부 영역(15b)은 최소 이온 밀도, 저압, 적은 용적 및 최소 해리를 가질 수 있다.
선택적인 양태에 따라, 전체 인-시츄 전극(10)은 반도체 재료 또는 도핑된 질화 알루미늄과 같은 세라믹으로 완전히 형성됨으로써 전도성이 될 수 있다.
인-시츄 전극(10)은 상이한 이용 모드를 가지며, 하나의 세트의 공정 가스는 천장 가스 분배판(108b)을 통하여 상부 챔버(15a)의 플라즈마 발생 영역 내로 도입될 수 있으며, 동시에 상이한 세트의 공정 가스는 제품(102)에 매우 근접한 인-시츄 전극(10)을 통하여 플라즈마 발생 영역 아래의 챔버 영역(15b) 내로 도입될 수 있다.
상부 및 하부 영역(15a, 15b) 내에 있는 가스는 상이한 공정 상태로 처리될 수 있으며, 상부 영역에서, 이온 밀도 및 압력은 더 큰 해리의 종에 대해 더 높을 수 있으며, 하부 영역에서 순(true) 수직의 적은 해리에 대해 더 좁은 이온 속도 분포에 대해 이온 밀도가 적으며 압력이 작다.
인-시츄 전극(10)의 내부 및 외부 가스 매니폴드 또는 존(zone; 62)은 인-시츄 전극(10)을 통하여 도입되는 공정 가스의 방사형 분포를 독립적으로 조정하도록 제어될 수 있으며 제품 표면에서 활성종 분포는 제품(102)에 대한 인-시츄 전극(10)의 상당한 근접성 때문에 이 같은 변화에 대해 더 많이 민감하다.
관련된 종의 범위는 상부 챔버 영역(15a) 내의 상당히 해리된 종을 발생시키고 인-시츄 전극(10)을 통하여 해리가 거의 없거나 전혀 없는 하부 영역(15b) 내로 더 무거운 종을 도입함으로써 상당히 증가될 수 있다.
제품 표면에서 바이어스 RF 전기장의 균일도가 접지부 또는 RF(HF 또는 LF) 포텐셜 소스(80) 중 어느 하나로 전도층(44)을 연결함으로써, 전기 포텐셜 기준으로서 또는 접지 기준으로서 인-시츄 전극(10)의 전도층(44)을 채용함으로써 달성될 수 있다. 인-시츄 전극(10)의 상당한 접근성은 제품에서 더욱 균일한 RF 바이어스 필드를 설정하기 위해 매우 균일한 평면을 제공한다. 하나의 양태에서, RF 바이어스 발생기(132 또는 134)는 제품 지지 페데스탈 전극(130) 및 인-시츄 전극 전도층(44)에 걸쳐 결합될 수 있다.
인-시츄 전극의 축방향 통로(72)를 통한 가스 유동 분포는 플라즈마 이온 밀도의 중앙에서-높은 분포 또는 중앙에서-낮은 분포를 형성하는 챔버 설계를 보상하기 위해 비균일하게 될 수 있다. 이러한 피쳐는 면적 또는 개구 크기를 달리하고, 이에 따른 크기를 분배하는(예를 들면, 중앙에 더 근접한 더 큰 개구 및 원주위에 더 가까운 더 작은 개구) 상이한 통로(72)를 제공함으로써 실현될 수 있다.
D.C. 전압 소스(11)(도 2에 도시됨)는 인-시츄 전극(10)으로 인가될 수 있다.
이러한 경우, 전극(10)은 완전히 전도성 또는 반 전도성 재료(예를 들면, 도핑된 질화 알루미늄)로 형성될 수 있고, 전도층(44)은 생략될 수 있다.
상부 및 하부 챔버 영역(15a, 15b)의 용적은 예를 들면 페데스탈(103)을 상승 또는 하강시킴으로써, 두 개의 영역 내의 상태를 최적화하도록 조정할 수 있다. 예를 들면, 유도 결합 소스 전력 인가기(14)는 상부 챔버 영역(15a) 내에 플라즈마를 발생하도록 적용되며, 이어서 성능이 상부 챔버 영역의 용적을 증가시킴으로써 강화될 수 있다. 이러한 변화는 또한 상부 챔버 영역(15a) 내에서 플라즈마내의 가스의 잔류 시간을 증가시키는 경향이 있어, 해리를 증가시킨다. 하부 챔버 영역(15b)의 용적은 상기 영역에서 이온 충돌을 감소시키기 위해 감소될 수 있어 수직 방향에 대한 더 좁은 분포의 이온 속도 프로파일을 달성한다. 이러한 피쳐는 깊은 고 종횡비 개구를 가지는 제품 표면의 영역 내에서 플라즈마 공정 성능을 개선할 수 있다.
저 밀도 용량 결합 플라즈마 소스는 (인-시츄 전극(10)의) 전도층(44)으로 VHF 전력 발생기(80)를 결합함으로써 하부 챔버 영역(15a)에 설정될 수 있다. VHF 발생기의 RF 회수 단자는 하부 챔버 영역(15b) 내의 VHF 전기장을 설정하도록 지지 페데스탈 전극(130)으로 연결될 수 있다. 이러한 경우, RF 필터는 HF 및 VHF 전력 소스(132, 80) 사이의 전도를 회피하기 위해 적용될 수 있다. 예를 들면, 인-시츄 전극(10)(예를 들면, 전도층(44))은 HF 바이어스 소스(132)에 대한 접지면으로서 기능하여, VHF 발생기(80)가 예를 들면, 좁은 VHF 밴드패스 필터(VHF bandpass filter; 도시안됨)를 통하여 인-시츄 전극으로 결합될 수 있다. 유사하게, 페데스탈 전극(130)은 VHF 발생기(80)에 대한 접지면일 수 있어서, 페데스탈 전극(130)은 예를 들면 HF 또는 LF 발생기(132, 134)로부터 전력이 전환되는 것을 회피하기 위한 좁은 VHF 밴드패스 필터(도시안됨)를 통하여 접지되도록 결합될 수 있다.
도 5 및 도 6은 본 발명의 하나의 양태를 도시하며, 도 5 및 도 6에서 인-시츄 전극체(10)가 복수의 동심 원주형 링 부재(610) 사이로 연장하는 복수의 방사형 스포크 부재(spoke member; 600)로 형성된다. 각각의 유동-관통 개구(72)는 인접한 스포크 및 링 부재(600, 610) 사이에 형성된다. 도시된 구조에서, 스포크 부재(600)는 균일한 단면이며 따라서 방사형 구조물은 본래 개구(72)가 반경을 가지고 증가되는 개구 크기로 진행되도록 한다. 이는 하부 챔버 영역(15b) 내의 더욱 균일한 이온 분포를 제공하도록, 상부 챔버(15a) 내의 중앙의 높은 이온 분포를 보상할 수 있는 중앙의-높은 유동 저항을 형성한다. 도 7에 도시된 바와 같이, 인-시츄 전극(10)은 중앙 및 주변 섹션(10a, 10b)으로 분할될 수 있으며, 중앙 섹션(10b)은 하부 챔버 영역(15b)의 중앙에서 플라즈마 이온 밀도를 강화하기 위해 제거가능하다.
도 5 및 도 6에 도시된 실시예에는, 4개의 동심 링 부재(610-1, 610-2, 610-3 및 610-4)가 있다. 90도 간격으로 이격된 4개의 제 1 방사형 스포크 부재(600-1), 90도 간격으로 이격되었지만 제 1 스포크 부재(600-1)에 대해 45도 만큼 회전된 4개의 제 2 방사형 스포크 부재(600-2), 및 22.5도 간격으로 서로로부터 이격된 8개의 작은 스포크 부재(600-3)이 있다. 제 1 스포크 부재(600-1)는 중앙(615)으로부터 주변 링 부재(610-4)로 연장한다. 제 2 스포크 부재(600-2)는 최내 링 부재(610-1)로부터 주변 링(610-4)으로 연장한다. 작은 스포크 부재(600-3)는 제 2 링 부재(610-2)로부터 주변 링(610-4)으로 연장한다.
도 8 내지 도 10을 참조하면, 도 5 및 도 6의 인-시츄 전극(10)은 내부 전도성 (전극) 층(44)(도 1에서 점선으로 표시됨)을 가진다. 인-시츄 전극은 내부 및 외부 가스 매니폴드(62, 64), 인-시츄 전극(10)의 바닥면(70) 내의 가스 주입 오리피스(69)의 내부 및 외부 그룹(66, 68)을 더 포함한다. 도 10은 인-시츄 전극이 평행 층(85, 86, 87)으로 형성될 수 있는 하나의 가능한 방식으로 도시되며, 이 중 바닥 층(85)은 바닥 전극면(70)을 형성하며 바닥 전극면(70)을 통해 형성된 가스 주입 오리피스(69)를 가진다. 증간 층(86)은 가스 매니폴드 통로(62, 64)를 포함한다. 상부 층(87)은 중간 층(86)을 덮으며 도 11의 확대도에 도시된 바와 같이 전도 층(44)을 포함할 수 있다. 도 8 내지 도 10의 인-시츄 전극(10)은 질화 알루미늄과 같은 세라믹 재료로 형성될 수 있다. 인-시츄 전극(10)의 전체 몸체가 소정의 전류-운반 능력을 가지는 것이 바람직한 경우, 도핑 질화 알루미늄 또는 다른 도핑 세라믹으로 형성될 수 있으며, 이 경우 내부 전극 요소(44)는 불필요하다.
도 12A, 도 12B, 도 12C, 도 12D 및 도 12E는 중앙에서-높은 형상(도 12A), 평평한 형상(도 12B), 중앙에서-낮은 형상(도 12C), 중앙에서-높고 에지에서-높은 형상(도 12D), 및 중앙에서-낮고 에지에서-낮은 형상(도 12E)를 포함하여, 상이한 단면적 형상을 가지는 도 1의 반응기의 인-시츄 전극(10)의 실시예를 도시한다. 이러한 상이한 형상은 예를 들면 제품에 걸쳐 공정율(process rate)의 방사형 분포를 형성하기 위해 적용될 수 있다.
전술된 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가 실시예는 본 발명의 기본 범위로부터 벗어나지 않고 발명될 수 있고, 본 발명의 범위는 다음의 청구범위에 의해 결정된다.

Claims (23)

  1. 플라즈마 반응기로서,
    천장, 측벽, 및 제품 지지 페데스탈을 가지며 상기 페데스탈과 상기 천장 사이에 챔버 용적을 형성하는 반응기 챔버로서, 상기 제품 지지 페데스탈은 상기 반응기 챔버 내부에 대칭 축선을 따라 상기 천장 쪽을 향하는, 반응기 챔버,
    상기 천장에 있는 RF 플라즈마 소스 전력 인가기 및 상기 RF 플라즈마 소스 전력 인가기에 결합되는 RF 플라즈마 소스 전력 발생기,
    상기 반응기 챔버 내부에 상기 대칭 축선에 대해 교차하는 평면에 배치되고 상기 천장 및 상기 지지 페데스탈 중간에 배치되고 상기 반응기 챔버를 상부 및 하부 챔버 영역으로 분리하는, 인-시츄(in-situ) 전극체를 포함하며,
    상기 인-시츄 전극은 (a) 상기 대칭 축선에 대해 평행하게 연장하고 다양한 개구 크기를 가지는 복수의 유동 관통 통로로서, 상기 인-시츄 전극체를 통한 가스 유동 저항의 원하는 방사형 분포에 따라서 개구 크기에 의해 방사형으로 분포되는, 복수의 유동 관통 통로,
    (b) 상기 인-시츄 전극체 내부에 위치되고 상기 복수의 유동 관통 통로로 제공되는 전도성 전극 요소, 및
    상기 전도성 전극 요소로 결합되는 전기 단자를 포함하는,
    플라즈마 반응기.
  2. 제 1 항에 있어서,
    상기 인-시츄 전극체는 제 1 내부 가스 매니폴드,
    상기 제 1 내부 가스 매니폴드에 결합되는 외부 가스 공급 포트,
    상기 제품 지지 페데스탈 쪽을 향하는 상기 인-시츄 전극체의 바닥면에 있는 복수의 가스 주입 오리피스로서, 상기 제 1 내부 가스 매니폴드에 결합되는, 복수의 가스 주입 오리피스를 더 포함하는,
    플라즈마 반응기.
  3. 제 2 항에 있어서,
    상기 제 1 내부 가스 매니폴드는 방사형 내부 매니폴드를 포함하고, 상기 가스 주입 오리피스는 상기 인-시츄 전극체 내에 방사형 내부 가스 주입 존을 포함하며,
    상기 인-시츄 전극체는;
    방사형 외측 내부 가스 매니폴드,
    상기 방사형 외측 내부 가스 매니폴드에 결합되는 제 2 외부 가스 공급 포트,
    상기 제품 지지 페데스탈을 향하는 상기 인-시츄 전극의 바닥면 내에 제 2 다수의 가스 주입 오리피스를 포함하는 방사형 외측 가스 주입 존을 포함하며,
    상기 제 2 다수의 가스 주입 오리피스는 상기 방사형 외측 내부 가스 매니폴드에 결합되는,
    플라즈마 반응기.
  4. 제 3 항에 있어서,
    상기 인-시츄 전극체의 상기 외부 가스 공급 포트들 중 각각 하나에 결합되는 독립적인 공정 가스 소스를 더 포함하는,
    플라즈마 반응기.
  5. 제 4 항에 있어서,
    상기 천장 내의 공정 가스 분배판 및 상기 공정 가스 분배판에 결합되는 추가의 독립적인 공정 가스 소스를 더 포함하는,
    플라즈마 반응기.
  6. 제 1 항에 있어서,
    상기 전도성 전극 요소에 결합되는 전압 소스를 더 포함하고, 상기 전압 소스는 접지 포텐셜, D.C. 전압 소스, RF 전압 소스들 중 하나를 포함하는,
    플라즈마 반응기.
  7. 제 1 항에 있어서,
    상기 가스 유동 저항의 분포는 중앙에서 높아서 상기 상부 챔버 영역 내의 플라즈마 이온 밀도의 중앙에서 높은 분포와 반작용하는,
    플라즈마 반응기.
  8. 제 7 항에 있어서,
    상기 유동 관통 통로들은 상기 인-시츄 전극체 상의 위치의 반경에 따라 크기가 증가하는 순서로 위치되는,
    플라즈마 반응기.
  9. 제 1 항에 있어서,
    상기 가스 유동 저항의 분포는 중앙에서 낮아서, 상기 상부 챔버 영역 내의 플라즈마 이온 밀도의 중앙에서 낮은 분포와 반작용하는,
    플라즈마 반응기.
  10. 제 9 항에 있어서,
    상기 유동 관통 통로들은 상기 인-시츄 전극체 상의 위치의 반경에 따라 크기가 감소하는 순서로 위치되는,
    플라즈마 반응기.
  11. 제 1 항에 있어서,
    상기 상부 및 하부 챔버 영역의 용적을 조정하기 위한 수단을 더 포함하는,
    플라즈마 반응기.
  12. 제 11 항에 있어서,
    상기 조정 수단은 상기 제품 지지 페데스탈에 결합되는 상승 기구를 포함하는,
    플라즈마 반응기.
  13. 제 1 항에 있어서,
    상기 인-시츄 전극체는 세라믹 재료로 형성되고 상기 전도성 전극 요소는 상기 인-시츄 전극체 내에 포함되는 평면형 전도층을 포함하는,
    플라즈마 반응기.
  14. 제 1 항에 있어서,
    상기 인-시츄 전극체는 도핑 세라믹 재료로 형성되고 상기 전도성 전극 요소를 구성하는,
    플라즈마 반응기.
  15. 제 1 항에 있어서,
    상기 전도성 전극 요소로 결합되는 VHF 전력 발생기를 더 포함하는,
    플라즈마 반응기.
  16. 제 15 항에 있어서,
    상기 VHF 전력 발생기는 상기 전도성 전극 요소 및 상기 제품 지지 페데스탈에 걸쳐 결합되는,
    플라즈마 반응기.
  17. 제 16 항에 있어서,
    상기 제품 지지 페데스탈에 결합되는 HF 또는 LF 바이어스 전력 발생기를 더 포함하는,
    플라즈마 반응기.
  18. 제 17 항에 있어서,
    상기 제품 지지 페데스탈과 접지부 사이에 결합되는 VHF 밴드패스 필터 및 상기 인-시츄 전극체의 상기 전도성 전극 요소와 접지부 사이에 결합되는 HF 또는 LF 밴드패스 필터를 더 포함하는,
    플라즈마 반응기.
  19. 제 1 항에 있어서,
    상기 인-시츄 전극체는 복수의 방사형 부재 및 복수의 원주형 부재를 포함하고, 상기 복수의 방사형 부재 및 상기 복수의 원주형 부재는 상기 인-시츄 전극체의 유동-관통 개구를 형성하는,
    플라즈마 반응기.
  20. 제 19 항에 있어서,
    상기 인-시츄 전극체는 별개의 내부 및 외부 동심부로 분리되고, 적어도 상기 내부 동심부는 상기 하부 챔버 영역의 중앙부 내의 플라즈마 이온 밀도를 강화하기 위해 제거가능한,
    플라즈마 반응기.
  21. 플라즈마 반응기에 적용가능한 가스 분배판으로서,
    플라즈마 챔버의 축선과 교차하는 평면 내의 상기 플라즈마 챔버 내부에 배치되도록 구성되는 전극체를 포함하며,
    상기 전극체는 (a) 상기 축선에 대해 평행하게 연장하고 다양한 개구 크기를 가지는 복수의 유동 관통 통로로서, 상기 플라즈마 챔버 내의 상기 전극체를 통한 가스 유동 저항의 원하는 방사형 분포에 따라서 개구 크기에 의해 방사형으로 분포되는, 복수의 유동 관통 통로,
    (b) 상기 전극체 내부에 위치되고 상기 복수의 유동 관통 통로로 제공되는 전도성 전극 요소, 및
    상기 전도성 전극 요소로 결합되는 전기 단자를 포함하는,
    가스 분배판.
  22. 제 21 항에 있어서,
    상기 전극체는 제 1 내부 가스 매니폴드,
    상기 제 1 내부 가스 매니폴드로 결합되는 외부 가스 공급 포트,
    상기 전극체의 바닥면 내에 복수의 가스 주입 오리피스를 더 포함하며,
    상기 복수의 가스 주입 오리피스는 상기 제 1 내부 가스 매니폴드에 결합되는,
    가스 분배판.
  23. 제 22 항에 있어서,
    상기 제 1 내부 가스 매니폴드는 방사형 내부 매니폴드를 포함하고, 상기 복수의 가스 주입 오리피스는 상기 전극체의 방사형 내부 가스 주입 존을 포함하고,
    상기 전극체는 방사형 외측 내부 가스 매니폴드,
    상기 방사형 외측 내부 가스 매니폴드에 결합되는 제 2 외부 가스 공급 포트,
    상기 전극의 바닥면 내에 제 2 다수의 가스 주입 오리피스를 포함하는 방사형 외부 가스 주입 존을 더 포함하며,
    상기 제 2 다수의 가스 주입 오리피스는 상기 방사형 외측 내부 가스 매니폴드에 결합되는,
    가스 분배판.
KR1020097014104A 2006-12-05 2007-12-05 중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극 KR20090086638A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US87310306P 2006-12-05 2006-12-05
US60/873,103 2006-12-05

Publications (1)

Publication Number Publication Date
KR20090086638A true KR20090086638A (ko) 2009-08-13

Family

ID=39492877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014104A KR20090086638A (ko) 2006-12-05 2007-12-05 중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극

Country Status (5)

Country Link
US (2) US20080178805A1 (ko)
JP (1) JP2010512031A (ko)
KR (1) KR20090086638A (ko)
TW (1) TW200841775A (ko)
WO (1) WO2008070181A2 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140068055A (ko) * 2011-09-07 2014-06-05 램 리써치 코포레이션 이중 챔버 구성의 펄스형 플라즈마 챔버
KR20140086607A (ko) * 2012-12-28 2014-07-08 주식회사 테스 박막 고속 증착방법 및 증착장치
KR20140121367A (ko) * 2013-04-05 2014-10-15 램 리써치 코포레이션 반도체 제조를 위한 내부 플라즈마 그리드
KR20170101251A (ko) * 2016-01-18 2017-09-05 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법 및 플라스마 처리 장치
KR20190100395A (ko) * 2017-01-17 2019-08-28 램 리써치 코포레이션 ICP (Inductively Coupled Plasma) 프로세싱 챔버 내에서 저 바이어스 전압을 사용하여 기판 근방에서 보충 플라즈마 밀도 생성
KR20190139321A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 다수의 가스 주입 구역을 갖는 플라즈마 스트립 도구
KR20210024261A (ko) * 2011-10-27 2021-03-04 어플라이드 머티어리얼스, 인코포레이티드 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
KR20210084927A (ko) 2019-12-30 2021-07-08 주식회사 선익시스템 에칭 가스 응집도 향상을 위한 캡 구조체

Families Citing this family (517)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
FR2921538B1 (fr) * 2007-09-20 2009-11-13 Air Liquide Dispositifs generateurs de plasma micro-ondes et torches a plasma
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
KR100963287B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US8910590B2 (en) * 2009-02-13 2014-12-16 Gallium Enterprises Pty Ltd. Plasma deposition
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
CN101989536B (zh) * 2009-07-30 2013-03-13 瀚宇彩晶股份有限公司 用于等离子体蚀刻制程的气体扩散板
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5982129B2 (ja) * 2011-02-15 2016-08-31 東京エレクトロン株式会社 電極及びプラズマ処理装置
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TW201325326A (zh) * 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US20130098552A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. E-beam plasma source with profiled e-beam extraction grid for uniform plasma generation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
KR20140092892A (ko) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
JP5850236B2 (ja) * 2012-01-20 2016-02-03 アイシン精機株式会社 カーボンナノチューブの製造装置及びカーボンナノチューブの製造方法
CN103426710B (zh) * 2012-05-18 2016-06-08 中国地质大学(北京) 一种供气均匀的等离子体刻蚀装置
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
WO2014133465A1 (en) * 2013-02-28 2014-09-04 Nanyang Technological University A capacitively coupled electrodeless plasma apparatus and a method using capacitively coupled electrodeless plasma for processing a silicon substrate
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140299273A1 (en) * 2013-04-08 2014-10-09 Lam Research Corporation Multi-segment electrode assembly and methods therefor
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
KR101809150B1 (ko) 2013-08-09 2017-12-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2015106595A (ja) * 2013-11-29 2015-06-08 株式会社日立ハイテクノロジーズ 熱処理装置
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6157385B2 (ja) * 2014-03-11 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10450654B2 (en) * 2014-07-25 2019-10-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Radical gas generation system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
CN105590880B (zh) * 2014-11-18 2019-01-18 北京北方华创微电子装备有限公司 反应腔室
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062087A1 (en) 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207077A1 (en) * 2016-01-15 2017-07-20 Mattson Technology, Inc. Variable Pattern Separation Grid for Plasma Chamber
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6632426B2 (ja) * 2016-02-29 2020-01-22 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) * 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN110100298B (zh) * 2016-12-27 2022-10-04 瑞士艾发科技 射频电容耦合双频率蚀刻反应器
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP7035581B2 (ja) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019152514A1 (en) * 2018-01-30 2019-08-08 Applied Materials, Inc. Gas injector insert segment for spatial ald
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP2021519510A (ja) * 2018-03-28 2021-08-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスシリコンのリモート容量結合プラズマ堆積
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102670124B1 (ko) * 2018-05-03 2024-05-28 주성엔지니어링(주) 기판 처리 장치
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102592922B1 (ko) * 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11424107B2 (en) * 2018-06-29 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature-controlled plasma generation system
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109518136B (zh) * 2019-01-24 2020-11-27 成都京东方光电科技有限公司 蒸镀结构、蒸镀系统及蒸镀结构的使用方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
WO2021108297A1 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
US20210159052A1 (en) * 2019-11-27 2021-05-27 Applied Materials, Inc. Processing Chamber With Multiple Plasma Units
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210115861A (ko) * 2020-03-16 2021-09-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111463094B (zh) * 2020-04-16 2023-08-18 北京北方华创微电子装备有限公司 原子层刻蚀设备和原子层刻蚀方法
JP7078793B2 (ja) * 2020-04-21 2022-05-31 株式会社日立ハイテク プラズマ処理装置
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
WO2021222726A1 (en) * 2020-05-01 2021-11-04 Mattson Technology, Inc. Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220097202A (ko) * 2020-12-31 2022-07-07 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116121730B (zh) * 2023-04-12 2023-09-01 江苏鹏举半导体设备技术有限公司 固态前驱体源升华装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5824607A (en) * 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US7861667B2 (en) * 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
WO2004097919A1 (ja) * 2003-05-02 2004-11-11 Tokyo Electron Limited 処理ガス導入機構およびプラズマ処理装置
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140068055A (ko) * 2011-09-07 2014-06-05 램 리써치 코포레이션 이중 챔버 구성의 펄스형 플라즈마 챔버
KR20210024261A (ko) * 2011-10-27 2021-03-04 어플라이드 머티어리얼스, 인코포레이티드 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
US11410860B2 (en) 2011-10-27 2022-08-09 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
KR20140086607A (ko) * 2012-12-28 2014-07-08 주식회사 테스 박막 고속 증착방법 및 증착장치
KR20140121367A (ko) * 2013-04-05 2014-10-15 램 리써치 코포레이션 반도체 제조를 위한 내부 플라즈마 그리드
KR20140121368A (ko) * 2013-04-05 2014-10-15 램 리써치 코포레이션 반도체 제조를 위한 내부 플라즈마 그리드
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
KR20170101251A (ko) * 2016-01-18 2017-09-05 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법 및 플라스마 처리 장치
KR20190131616A (ko) * 2016-01-18 2019-11-26 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 방법 및 플라스마 처리 장치
KR20190100395A (ko) * 2017-01-17 2019-08-28 램 리써치 코포레이션 ICP (Inductively Coupled Plasma) 프로세싱 챔버 내에서 저 바이어스 전압을 사용하여 기판 근방에서 보충 플라즈마 밀도 생성
KR20190139321A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 다수의 가스 주입 구역을 갖는 플라즈마 스트립 도구
KR20210084927A (ko) 2019-12-30 2021-07-08 주식회사 선익시스템 에칭 가스 응집도 향상을 위한 캡 구조체

Also Published As

Publication number Publication date
WO2008070181A3 (en) 2008-09-18
US20080178805A1 (en) 2008-07-31
TW200841775A (en) 2008-10-16
US20080193673A1 (en) 2008-08-14
JP2010512031A (ja) 2010-04-15
WO2008070181A2 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
KR20090086638A (ko) 중간-챔버 가스 분배판, 조정식 플라즈마 제어 그리드 및 전극
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
KR101979927B1 (ko) 유도 결합 플라즈마 (icp) 반응기들에서의 전력 증착 제어
KR101696333B1 (ko) 감소된 공구 풋 프린트를 갖는, 균일한 박막 증착을 위한 평행판 반응기
US10504700B2 (en) Plasma etching systems and methods with secondary plasma injection
US20090159002A1 (en) Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US7674394B2 (en) Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
CN101064238B (zh) 具有独立等离子体源的等离子体反应器设备
JP7313387B2 (ja) プラズマ点源のアレイによってワークピースを処理するためのプラズマリアクタ
US20080236490A1 (en) Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
KR20060129543A (ko) 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치
TW201318484A (zh) 於工作件處理區域中用於產生電漿離子之頂部電子束源
US20230369017A1 (en) Plasma sources and plasma processing apparatus thereof
TWI474869B (zh) 具有路徑分裂歧管的電漿反應室氣體分佈板
JP2006344701A (ja) エッチング装置およびエッチング方法
US20150279623A1 (en) Combined inductive and capacitive sources for semiconductor process equipment
KR102591647B1 (ko) 플라즈마 기판 처리 장치
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법
US20210193439A1 (en) Plasma processing apparatus
CN112530776B (zh) 一种等离子体处理装置
KR102000012B1 (ko) 기판 지지 유닛 및 이를 포함하는 기판 처리 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid