KR20060129543A - 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치 - Google Patents

반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치 Download PDF

Info

Publication number
KR20060129543A
KR20060129543A KR1020067023427A KR20067023427A KR20060129543A KR 20060129543 A KR20060129543 A KR 20060129543A KR 1020067023427 A KR1020067023427 A KR 1020067023427A KR 20067023427 A KR20067023427 A KR 20067023427A KR 20060129543 A KR20060129543 A KR 20060129543A
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
substrate processing
chamber
restrictor
flow
Prior art date
Application number
KR1020067023427A
Other languages
English (en)
Other versions
KR101184070B1 (ko
Inventor
캐롤 베라
희엽 채
하미드 타바소리
얀 예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060129543A publication Critical patent/KR20060129543A/ko
Application granted granted Critical
Publication of KR101184070B1 publication Critical patent/KR101184070B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 기판 처리 챔버에서 처리 영역 및 배출 포트 사이에서 가스의 유동을 제어하기 위한 장치가 제공된다. 이 장치는 반도체 처리 챔버 내에서 지지되고 기판 지지 페데스탈을 적어도 부분적으로 둘러싸는 하나 이상의 제한기 플레이트를 포함한다. 이 제한기 플레이트는 처리 영역 및 배출 포트 사이에서 흐르는 하나 이상의 가스의 유동을 제어하도록 구성된다.

Description

반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한 장치 {APPARATUS FOR CONTROLLING GAS FLOW IN A SEMICONDUCTOR SUBSTRATE PROCESSING CHAMBER}
본 발명은 반도체 기판 처리 시스템에 관한 것이다. 특히 본 발명은 반도체 기판 처리 챔버 내에서 가스의 유동을 제어하기 위한 유동 제어 어셈블리에 관한 것이다.
직접 회로는 복합 기구로 발전되어 왔는데 이 복합기구는 수많은 구성요소(예를 들어 트랜지스터, 커패시터, 레지스터, 및 이와 유사한 것)를 하나의 칩(chip) 위에 포함할 수 있다. 칩 설계의 발전은 더 빠른 회로 및 더 큰 회로 밀도를 계속적으로 요구한다. 더 큰 회로 밀도에 대한 요구는 직접 회로 구성요소의 치수에서의 감소를 필요로 한다. 이러한 기구의 특징의 최소 치수는 임계 치수로서 이 기술에서 일반적으로 일컬어진다. 임계 치수는 선, 칼럼(column), 개구, 선 사이의 공간, 및 이와 유사한 것과 같은 특징의 최소 폭을 일반적으로 포함한다.
이러한 임계 치수 축소로서, 기판에 걸쳐 공정 균일성은 높은 수율을 유지하도록 최고이어야 한다. 직접 회로의 제작에서 사용되는 종래의 플라즈마 에칭 공정과 관련된 한 문제점은, 기판에 걸친 에칭률이 불균일하다는 것이고, 이는 부분적으로 에칭되는 기판 및 반응 종 사이의 측면 오프셋(offset) 때문일 수 있다. 기판의 중심으로부터 오프셋되는 반응 종의 경향에 공헌하는 한 요소는, 챔버 배출 포트의 방사상 위치이다. 가스가 배출 포트에 가장 가까운 챔버의 지역으로부터 더욱 쉽게 펌프됨에 따라, 반응 종은 배출 포트를 향해 끌려가게 되고, 이에 의해 챔버의 중심 및 그 안에 위치한 기판에 대해 오프셋 된다. 이러한 오프셋은 기판의 표면에 걸친 에칭 균일성을 해치게 되고, 이는 직접 회로 제조의 비용을 증가시키고 그 성능에 중요한 악영향을 미칠 수 있다.
따라서 직접 회로의 제작 동안 재료층을 에칭하기 위한 향상된 장치가 본 기술 분야에서 필요하다.
본 발명은 반도체 기판 처리 챔버를 통해 가스의 유동을 제어하기 위한 장치를 일반적으로 제공한다. 일 실시예에서, 장치(유동 제어기)는 처리 챔버의 바닥부에 의해 지지되도록 구성된 베이스 및 수직으로 이격된 방향으로 베이스에 결합된 지지 링을 포함한다. 지지 링은 페데스탈(pedestal)을 둘러싸고 이 위에서 기판이 챔버 내에서 지지된다. 적어도 하나의 제한기 플레이트가 지지 링에 결합되고 이로부터 방사상으로 연장한다. 제한기 플레이트는 기판 위에 위치한 처리 영역 및 진공 펌프에 결합된 배출 포트 사이에 위치한다. 제한기 플레이트는 처리 영역으로부터 배출 포트로 흐르는 가스의 유동을 제어하도록 구성된다.
상기 언급된 본 발명의 특징, 장점 및 목적 그리고 상기에서 간략하게 요약된 본 발명의 상세한 설명은 첨부된 도면에서 도시된 실시예를 참조하여 얻어질 수 있고 이해될 수 있다.
첨부된 도면은 본 발명의 단지 전형적인 예시일 뿐이고 따라서 그 범위를 제한한다고 생각해선 안되며, 다른 동등한 효과적인 실시예를 인정할 수 있다.
도 1은 이중 주파수 용량성 플라즈마 소스 반응기의 개략 측면도이다.
도 2A는 유동 제어기의 일례의 부분적인 분해 사시도이다.
도 2B는 도 2A의 유동 제어기의 유동 제한기 플레이트의 일 실시예의 평면도이다.
도 3은 유동 제어기의 일 실시예를 활용하는 처리 챔버의 평면 단면도이다.
도 4A는 유동 제어기의 다른 실시예를 활용하는 처리 챔버의 평면 단면도이다.
도 4B는 도 4A의 유동 제어기의 제한기 플레이트의 일 실시예의 평면도이다.
도 5A는, 유동 제어기가 없는 반도체 기판 처리 챔버에서 처리 동안 기판에 걸친 에칭률의 균일성을 나타내는 그래프이다.
도 5B는, 유동 제어기를 가진 반도체 기판 처리 챔버에서 처리 동안 기판에 걸친 에칭률의 균일성을 나타내는 그래프이다.
본 발명은 플라즈마 반응기에서 반도체 기판에 걸친 공정 균일성을 개선하기 위한 장치와 일반적으로 관련이 있다. 당업자는, 반응성 이온 에칭(reactive ion etch, RIE) 챔버, 전자 사이클로트론 공명(electron cyclotron resonance, ECR) 챔버, 및 이와 유사한 것과 같은 플라즈마 에칭 챔버의 다른 형태가 본 발명을 수행 하는데 사용될 수 있다고 이해할 것이다. 또한, 본 발명은 원자 층 증착(atomic layer deposition, ALD) 챔버, 화학 기상 증착(CVD) 챔버, 플라즈마 향상 화학 기상 증착(PECVD) 챔버, 자성 촉진 플라즈마 처리 챔버(magnetically enhanced plasma processing chamber) 및 이와 유사한 것과 같이, 유동 제어가 처리 동안 기판의 표면에 걸쳐 공정 균일성을 개선할 수 있는 어떠한 처리 챔버에서도 사용될 수 있다.
도 1은 본 발명을 활용하는 이중 주파수 용량성 플라즈마 소스 반응기의 개략적인 단면도를 도시한다. 일 실시예에서, 본 발명의 유동 제어기는 기판 지지대 아래에 위치한 배출 포트 또는 챔버 배출구 및 기판 지지대 위에 위치한 가스 입구를 갖는 챔버와 함께 작동한다. 유동 제어기는 처리 챔버를 통한 제어된 가스 유동이 요구되는 경우라면 언제든지 유용하다. 본 발명을 사용하는데 적당한 처리 챔버의 일례는, 미국 캘리포니아주 산타 클라라에 있는 어플라이드 머티어리얼스사(Applied Materials, Inc., of Santa Clara, California)로부터 구입 가능한 ENABLERTM 처리 챔버이다.
일 실시예에서, 반응기(102)는 전기적 접지(134)에 연결된 전도성 챔버 벽(130)을 갖는 처리 챔버(110)를 포함한다. 적어도 하나의 솔레노이드 세그먼트(112)는 챔버 벽(130)의 외부에 위치한다. 챔버 벽(130)은 챔버(110)의 세척을 촉진하는 세라믹 라이너(131)를 포함한다. 각각의 기판이 처리된 후 에칭 공정의 부산물 및 잔여물은 라이너(131)로부터 쉽게 제거된다. 솔레노이드 세그먼트 (solenoid segment, 112)는, 적어도 5V를 발생시킬 수 있는 DC 전원(154)에 의해 제어된다.
또한, 처리 챔버(110)는 샤워헤드(132)로부터 이격되어 있고 처리 챔버(110)의 바닥부(108) 상에 배치된 기판 지지 페데스탈(116)을 포함하며, 이 사이에서 처리 영역(180)을 한정한다. 기판 지지 페데스탈(116)은, 샤워헤드(132) 아래에서 페데스탈(116)의 표면(140) 상에 기판(114)을 보유하기 위한 정전기적 처크(chuck, 126)를 포함한다.
정전기적 처크(126)는, 정합 회로(124)를 통해, RF 바이어스 소스(122)에 결합된 지지 페데스탈(116) 및 DC 전원(120)에 의해 제어된다. 바이어스 소스(122)는, 0 내지 5000와트의 전력 및 50kHz 내지 13.56MHz의 조정 가능한 주파수를 갖는 RF 신호를 일반적으로 만들 수 있다. 선택적으로, 바이어스 소스(122)는 DC 또는 펄스형 DC 소스일 수 있다.
샤워헤드(132)는 다수의 가스 분배 구역을 포함할 수 있고, 이에 의해 특정한 가스 분배 기울기를 이용하여 다양한 가스가 챔버(110)의 처리 영역(180)에 공급될 수 있으며, 샤워헤드는 지지 페데스탈(116)을 대향하는 상부 전극(128)에 장착된다. 상부 전극(128)은 임피던스 변압기(impedance transformer, 119)(예를 들어 1/4 파장 정합 스텁(matching stub))를 통해 RF 소스(118)에 연결된다. 소스(118)는, 약 0 내지 2000 와트 사이의 전력 및 약 162MHz의 조정 가능한 주파수를 갖는 RF 신호를 일반적으로 만들 수 있다.
챔버(110)의 내부는 고진공 용기(vessel)이고 이는 챔버 벽(130)에서 형성된 배출 포트(135)를 통해 진공 펌프(136)에 연결된다. 배출 포트(135)에 배치된 스로틀 밸브(throttle valve, 127)는 진공 펌프(136)와 함께 사용되고, 이에 의해 처리 챔버(110) 내부의 압력을 제어한다.
유동 제어기(100)는 챔버 벽(130) 및 지지 페데스탈(116)과 관련하여 이격된 채 지지 페데스탈(116)을 둘러싼다. 유동 제어기(100)는 처리 영역(180) 및 배출 포트(135) 사이에서 흐르는 가스의 유동을 제어한다. 유동 제어기(100)는, 일정한 공정 조건 하에서 또는 특별한 챔버 내에서, 유동 특징을 제어하도록 일반적으로 배치된다. 유동 제어기는 원하는 비대칭 가스 유동을 유지하도록 또는 특별한 챔버 내에서 가스 유동 특징을 동등하게 하도록 배치될 수 있다. 이는 유동 제어기를 예정된 높이, 폭, 및 형상으로 배치함에 의해 이루어지고, 이는 챔버의 바닥부(108)에 대해 예정된 높이에서 유동 제어기를 유지시키고, 유동 제어기(100)의 외부 에지 및 챔버 벽(130)의 내부 에지 사이의 정해진 갭(158)과 유동 제어기(100)의 내부 에지 및 지지 페데스탈(116)의 외부 에지 사이의 정해진 갭(160)을 생성한다. 유동 제어기는 갭(158, 160)의 모두 또는 어느 하나가 유동 제어기(100)의 원주를 따라 폭을 변화시킬 수 있도록 배치될 수 있다.
도 2A는 유동 제어기(100)의 일 실시예의 등척(isometric) 부분 분해도를 도시한다. 유동 제어기(100)는 베이스 플레이트(202), 다수의 지지 핀(204), 지지 링(206), 및 적어도 하나의 제한기 플레이트(208)를 포함한다. 베이스 플레이트(202)는 처리 챔버(110)(도 1에서 도시됨)의 바닥부(108) 상에 배치되고 일반적으로 기판 지지 페데스탈(116)을 둘러싼다. 베이스 플레이트(202)는 볼트, 스크류, 접착제, 용접, 클램프, 및 이와 유사한 것에 의해 적절한 방법으로 바닥부(108)에 고정될 수 있다. 도 2에서 도시된 실시예에서, 베이스 플레이트(202)를 통해 제공되는 네 개의 홀(210)은 처리 챔버(110)의 바닥부(108)에 대해 베이스 플레이트(202)를 볼트로 죄는 것을 쉽게 한다. 다수의 베이스 플레이트는 챔버 바닥부(108) 상에서 유동 제어기(100)를 지지하는데 사용될 수 있다.
지지 핀(204)은 베이스 플레이트(202) 및 지지 링(206) 사이에 결합되고, 이로써 지지 링(206)이 베이스 플레이트(202) 위로 간격을 두고 단단히 위치하게 한다. 지지 핀(204)은 용접, 스크류, 말뚝(staking), 끼워 맞춤(interference fit), 볼트, 결합, 및 이와 유사한 것과 같은 것에 의해 적절한 방법으로 지지 링(206) 및 베이스 플레이트(202)에 결합될 수 있다. 대안적으로 지지 핀(204)은, 한 블록의 재료로 기계 가공, 단조, 주조, 성형과 같은 방법으로, 베이스 플레이트(202) 또는 지지 링(206) 중 어느 하나 또는 모두의 일체형 부품일 수 있다. 도 2A에서 도시된 실시예에서, 지지 핀(204)은 죔쇠(260)(하나 도시됨)에 의해 지지 핀(204)의 각각의 아래에서 베이스 플레이트(202)에 형성된 홀(262)을 통해 베이스 플레이트(202)에 대해 거의 수직으로 볼트로 죄어진다. 지지 핀(204)은, 지지 링(206)을 지지 핀(204)에 볼트로 죄기 위한 홀(214)을 갖는 다수의 돌출부(212)를 따라 지지 링(206)에 결합된다.
지지 핀(204)은 길이에 있어서 일반적으로 거의 동일하고, 처리 챔버(110)의 바닥부(108) 위로 높이(h)에서 바닥부에 거의 평행하게 지지 링(206)을 지지한다. 일반적으로 높이(h)는 특별한 처리 챔버에서 펌프에 대한 가스 유동 특징을 최적화 시키도록 변한다. 예를 들면, 높이는 제한기 플레이트(208)가 페데스탈(116) 상에 배치된 기판(114)의 윗면에 대해 거의 평행하고 동일한 높이가 되도록 선택될 수 있다. 대안적으로 높이는 유동 특징 및 처리 챔버 결합구조에 의존하여 높아지거나 낮아질 수 있다. 유동 시뮬레이션 소프트웨어 패키지는 유동 제어기(100)에 대해 최적의 높이(h)를 결정하는데 사용될 수 있다. 대안적으로 서로 다른 길이로 만들어진 다수의 지지 핀(204) 세트는 최적의 높이(h)를 결정하기 위한 실험적인 공정을 실행하는데 사용될 수 있다. 대안적으로 지지 핀(204)은 잭 스크류와 같은 것에 의해 길이가 조정 가능할 수 있고, 이에 의해 서로 다른 지지 핀(204)을 사용하지 않고 높이(h)를 변화시킬 수 있다. 또 다른 실시예에서, 높이가 변하는 지지 핀은 페데스탈 표면(140)에 대해 제한기 플레이트(208)의 각을 배향하는데 사용될 수 있고, 이에 의해 제한기 플레이트(208)는 처리되는 기판의 표면 및 페데스탈 표면(140)과 평행하지 않게 된다.
적어도 하나의 제한기 플레이트(208)가 방해물로서 작용하고, 이에 의해 그 주위로 그리고 이를 통과하는 가스의 유동을 변경시킨다. 제한기 플레이트(208)는 가스 입구로부터 배출 포트로 흐르는 가스를 제한하고, 배출 포트의 반대로 흐르도록 최소의 제한 및 배출 포트의 근처에서 가스 유동의 최대 제한을 제공함에 의해 기판(114)의 표면 위로의 균일한 가스 유동을 제공하도록 구성된다. 균일한 가스 유동은 배출 포트를 향하여 끌어 당겨지는 플라즈마의 경향을 완화한다.
일 실시예에서 적어도 하나의 제한기 플레이트(208)는 배출 포트를 향해 배향된 환형 링 세그먼트이고 배출 포트와 대향하는 지역은 개방된 채 남겨둔다. 대 안적으로 적어도 하나의 제한기 플레이트(208)는, 서로 인접하여 배치된 변하는 방사상 폭 또는 동일한 폭의 다수의 환형 링 세그먼트일 수 있고, 이는 원하는 크기 및 형상의 환형 링 세그먼트를 형성하여 처리 동안 챔버 내에서 가스 유동을 최적화시킨다. 대안적으로 제한기 플레이트(208)는, 균일하거나 또는 변하는 방사상 폭을 갖는 하나의 플레이트일 수 있고, 최대 가스 유동 제한이 배출 포트 가까이에 있고 최소 가스 유동 제한이 배출 포트와 반대에 있도록 배향된다. 서로 다른 결합 구조 및 변하는 배출 포트 위치 및/또는 숫자를 가진 처리 챔버에서는, 다른 구성이 활용될 수 있다.
적어도 하나의 제한기 플레이트(208)가 지지 링(206)에 결합되고, 볼트, 스크류, 결합, 테이핑(taping) 및 이와 유사한 것과 같은 종래의 방법으로 부착될 수 있다. 제한기 플레이트는 지지 핀(204) 또는 베이스(202)에 직접적으로 결합될 수 있다. 도 2A에서 도시된 실시예에서, 제한기 플레이트(208)는 지지 링(206)에서 돌출부(212)에 형성된 홀을 통해 볼트로 죄어지고, 이에 의해 제한기 플레이트(208a, 208b, 208c)의 내부 에지(220)가 기판 지지 페데스탈(116)의 외부 에지에 거의 인접하여 유지되고, 이로써 그 사이에 내부 갭(160)을 한정한다.(도 1에서 도시된 것과 같음) 내부 갭(160)은 일반적으로 충분히 작아서 가스 유동이 이를 통과하는 것을 거의 막지만, 특별한 처리 챔버에서 가스 유동을 최적화시키기 위해 크기가 변경될 수 있다.
도 2A에서 도시된 실시예에서, 제한기 플레이트는 지지 링(206)에 결합된 일련의 플레이트(208a, 208b, 208c)를 포함하고 원하는 크기의 호(arc)를 형성하도록 서로 인접하여 옆으로 배열된다. 호의 특정 크기 또는 스윕각(sweep angle)은 처리 챔버 결합 구조에 의존하여 변할 것이다. 도 2A에서 도시된 제한기 플레이트(208)는 45도의 각을 차지하고, 세 개의 제한기 플레이트(208a, 208b, 208c)는 함께 135도를 포함한다. 제한기 플레이트는 더 크거나 또는 더 작을 수 있고, 또는 형상이 변할 수 있으며, 필요에 따라 더 크거나 더 작은 갭을 남겨둘 수 있고, 이로써 특별한 챔버에서 압력 및 유동을 최적화시킨다.
예를 들면, 도 2B는 유동 제어기(100)에서 사용되는 제한기 플레이트(208)의 일 실시예의 평면도를 도시한다. 제한기 플레이트(208)의 크기는 중점(250)으로부터 측정된 각(α)과 중점(250)에 대해 방사상으로 측정되는 폭(w)에 의해 정해진다. 각(α)을 변화시키는 것은 하나의 플레이트에 의해 에어 유동의 방해를 더 크게 또는 더 작게 할 것이다. 더 작은 각(α)은 유동 제한기의 더 큰 미세 조정을 가능하게 하고, 더 큰 각(α)은 부품을 감소시킨다. 이는 최적의 배치를 찾도록 조정된다. 또한, 특정한 배치가 결정되면, 하나의 제한기 플레이트(208)는, 홀로 원하는 지역을 덮는데 필요한 각(α)으로 제작될 수 있다.
또한, 폭(w)을 변화시키는 것은 제한기 플레이트(208)의 외부 에지(216) 및 챔버 벽(130)의 라이너(131) 사이에서 한정된 갭(158)(도 1에서 도시된 것과 같음)의 크기를 변화시킨다. 이는 갭(158)을 통해 그리고 유동 제한기의 외부 에지(216)를 주위로 가스 유동을 제어하는 것을 가능하게 한다. 일 실시예에서, 제한기 플레이트(208)의 폭(w)은 갭(158)에 거의 인접할 정도이고, 이에 의해 갭(158)을 통한 가스 유동을 거의 제한한다. 갭(158)은 균일할 수 있거나 또는 유동 제한 기 플레이트 또는 플레이트의 주위를 따라 변할 수 있다. 뾰족한 폭을 갖춘 하나 이상의 제한기 플레이를 사용하는 것에 의해, 갭(158)의 크기의 변화는 매끄러울 수 있다. 대안적으로, 갭(158)의 크기의 변화는 각각 서로 다른 폭을 갖는 다수의 제한기 플레이트를 사용함에 의해 단계적으로 될 수 있다.
도 3은 처리 챔버(310)에 배치된 유동 제어기(300)의 일 실시예의 평면도를 도시한다. 이 실시예는 일련의 제한기 플레이트(308a, 308b, 308c, 308d, 308e)가 어떻게 지지 링(306) 상에 배치될 수 있는 지를 도시하고, 이에 의해 처리 챔버(310)에서 페데스탈(316) 상에 배치된 기판(미도시)의 표면 위로 흐르는 에어의 압력 및 속도를 제어한다.
이러한 실시예에서 다섯 개의 제한기 플레이트(308a, 308b, 308c, 308d, 308e)는 서로 접하고 페데스탈(316)을 거의 둘러싼다. 각각의 제한기 플레이트는 약 45도의 각(α)을 가지고, 조립된 제한기 플레이트(308)는 약 225도, 또는 페데스탈(316)의 약 5/8을 둘러싼다. 또한, 각각의 제한기 플레이트는 제한기 플레이트(308)의 외부 에지 및 챔버 벽(330) 사이에 한정된 갭(358)에 거의 인접하기에 충분한 폭(w)을 가진다. 제한기 플레이트(308)는 제한기 플레이트의 내부 에지 및 페데스탈(316)의 외부 에지 사이에 한정된 갭(362)이 거의 닫히도록 배치된다. 제한기 플레이트(308)는 이들이 개구(360)를 남기도록 배치되고, 이 개구는 처리 챔버에서 배치된 배출 포트(355)의 위치와 거의 정반대로 위치하며, 이에 의해 에어가 갭(358, 362)을 통한 것보다 개구(360)를 통해 페데스탈을 지나 더욱 자유롭게 흐르게 할 수 있다.
도 4A는 처리 챔버(410)에 배치된 유동 제어기(00)의 다른 실시예의 평면도이다. 이 실시예에서, 제한기 플레이트(408)는 단일 구성요소이고, 이는 처리 챔버(410)에서 페데스탈(416) 상에 배치된 기판이 표면에 걸쳐 에어 압력 및 속도를 최적화시키기 위한 환형 형상을 갖는다. 제한기 플레이트(408)의 폭은 어떤 반경 섹션을 따라 매끄럽게 또는 불연속적으로 일정 량만큼 변할 수 있다. 예를 들면, 도 4B는 도 4A의 제한기 플레이트(408)의 평면도를 되하고, 여기서 제한기 플레이트(408)의 폭(w)은 최대 폭(w1)으로부터 정반대의 최소 폭(w2)으로 매끄럽게 뾰족해진다. 도 4A에서 도시된 실시예에서, 제한기 플레이트(408)는 최대 폭이 배출 포트(445)에 인접하여 배치되도록 배향되고, 이는 배출 포트 가까이에 작은 갭(458) 및 배출 포트(445)에 대향하여 배치된 더 큰 개구(460) 만을 남긴다.
예를 들면, 22 인치의 챔버 벽(430) 내부 지름 및 거기에 배치된 15 인치 지름의 페데스탈(416)을 갖는 챔버(410)에서, 페데스탈(416) 및 챔버 벽(430) 사이의 결과적인 채널은 3과 1/2 인치의 폭을 갖는다. 예시적인 유동 제어기(400)는 18 인치의 외부 지름을 갖는 원형 형태를 갖도록 배치될 수 있고, 이 경우 2와 3/4 인치의 최대 폭(w1) 및 1/4 인치의 정반대의 최소 폭(w2)을 가지고, 이 사이에는 균일하게 뾰족해지는 폭을 갖는다. 유동 제어기(400)는 챔버(410)에 위치할 수 있고, 이로써 최대 폭(w1)은 배출 포트(445)에 인접하여 위치하며, 펌프 포트(445)에 인접한 영역에서 1/2 인치의 최소 갭(458)을 남긴다. 펌프 포트(445)에 대향하는 개구(460)는 3과 1/4인치의 폭을 갖는다.
대안적으로, 제한기 플레이트(408)는 근사한 형상의 서로 다른 폭을 갖는 다수의 링 세그먼트로 이루어질 수 있다. 근사는 매끄럽거나 또는 계단식일 수 있다. 제한기 플레이트(408)의 형상은 유동 모델링 소프트웨어 패키지를 통해 또는 원하는 형상이 얻어질 때까지 다수의 제한기 플레이트를 이용한 실험을 통해 얻어질 수 있다.
다른 실시예에서, 단일의 제한기 플레이트는 다른 타원형의 형상일 수 있다. 여기서 사용되는 타원체는 넓게 해석되어야하고, 어떤 장원형(oblong), 타원형(elliptical), 원형, 아치형 또는 둥근 형태를 의미한다. "형상"이란 용어는 전체의 일반적인 형태를 의미하고, 기판 지지 페데스탈에 유동 제한기를 접하게 하기 위해 잘려진 부분을 포함할 수 있다.
도 1 및 2A로 돌아가면, 작동시 기판(114)은 지지 페데스탈(116) 상에 위치하고, 챔버(10)의 내부 공기는 대기 압력 미만의 압력으로 펌프되고, 발화될 때 플라즈마를 만드는 가스(150)(예를 들어 아르곤, 염소처리된 가스, 플루오르처리된 가스 및 이와 유사한 것)는 샤워헤드(132)를 통해 가스패널(138)로부터 처리 챔버(110)로 제공된다. RF 소스(118)로부터 상부 전극(128)으로 전력을 가함에 의해, 처리 챔버(110)에서 가스(150)가 발화되어 플라즈마(152)로 된다. 자기장이 솔레노이드 세그먼트(112)를 통해 플라즈마(152)로 가해지고, 지지 페데스탈(116)은 바이어스 소스(122)로부터 전력을 가함에 의해 바이어스된다.
기판(114)의 처리 동안 플라즈마(152)를 형성하기 위해 가스(150)가 처리 챔버(110)로 유입됨에 따라, 처리 챔버(110)의 작동 압력은 배출 포트(135)에 배치된 스로틀 밸브(127)에 의해 유지되고, 스로틀 밸브는 펌프(136)에 의해 배출 포트(135)를 통해 챔버(110) 밖으로 펌프되는 에어의 부피를 규율한다. 유동 제어기(100)를 사용하지 않는 경우, 가스가 처리 챔버(110) 안으로 그리고 밖으로 흐름에 따라 샤워헤드(132), 페데스탈(116) 및 배출 포트(135)의 위치는 기판(114)의 표면에 걸쳐 압력 및 속도의 불균등한 분포를 일으킨다. 이러한 불균등한 압력 및 속도 분포는 챔버에서 플라즈마(152)의 위치와 기판(114)의 표면으로부터 제거되는 재료의 에칭률에 악영향을 미친다.
도 5A 및 5B는 유동 제어기를 사용하는 경우와 사용하지 않는 경우에 있어서, 기판(114)의 표면에 걸친 에칭률의 균일성을 도시하는 측정 그래프를 도시한다. 도 5A는 유동 제어기를 사용하지 않는 경우 기판(512)의 표면 위의 큰 에칭률(552)의 영역을 도시한다. 도에서 보는 것처럼, 챔버 내에서 불균일한 가스 유동에 의해, 반응 종은 기판(512)의 일 측부로 이동된다. 반응 종의 위치의 이러한 오프셋은 기판이 에칭률에서 불균일성을 일으키고 이는 큰 에칭률(552)의 영역을 나타내는 것과 같다. 도 5B는 유동 제어기를 사용하는 경우, 기판(514)의 표면 상의 높은 에칭률(554)의 개선된 지역을 도시한다. 이 도에서 보는 것처럼, 반응 종은 기판(514)의 표면에 걸쳐 중심으로 모이고, 그 결과 더 큰 에칭률(554)의 더욱 균일한 지역을 나타낸다.
전술한 것은 본 발명의 다양한 실시예에 직접 이용되고, 본 발명의 다른 실시예 및 추가적 실시예는 본 발명의 기본 범위로부터 벗어나지 아니한 채 발명될 수 있으며 이의 범위는 뒤따르는 청구 범위에 의해 결정된다.

Claims (23)

  1. 반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치로서,
    상기 반도체 처리 챔버 내에서 지지되고 반도체 기판 페데스탈(pedestal)의 적어도 일부를 둘러싸도록 배치되며 상기 처리 영역 및 상기 배출 포트 사이에서 흐르는 하나 이상의 가스의 유동을 제어하도록 구성된, 하나 이상의 제한기 플레이트를 포함하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 상기 처리 챔버의 내벽 및 상기 기판 지지 페데스탈로부터 측방향으로 이격되도록 구성되는 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  3. 제 1 항에 있어서,
    상기 처리 챔버의 바닥부에 결합되도록 구성된 베이스; 및
    수직으로 이격된 방향으로 상기 베이스에 결합된 지지 링을 추가로 포함하 고,
    상기 하나 이상의 제한기 플레이트가 상기 지지 링에 결합되는 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 베이스 및 상기 지지 링 사이에 결합된 다수의 지지 다리를 추가로 포함하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 지지 다리가 상기 기판 지지 페데스탈의 기판 지지면에 의해 한정된 평면에 대해 평행하지 않은 방향으로 상기 지지 링을 보유하는 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 상기 기판 지지 페데스탈을 적어도 부 분적으로 둘러싸는 환형 형태를 갖는 하나의 제한기 플레이트인 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  7. 제 6 항에 있어서,
    상기 제한기 플레이트가 상기 제한기 플레이트의 일부에서의 폭이 상기 제한기 플레이트의 다른 부분에서의 폭보다 넓어지는 폭을 갖는 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 넓은 폭을 갖는 일부가 상기 배출 포트에 인접하여 위치하도록 구성된 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  9. 제 1 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 다수의 제한기 플레이트를 추가로 포함하고,
    각각의 제한기 플레이트가 하나 이상의 다른 제한기 플레이트를 접하는 것을 특징으로 하는,
    반도체 기판 처리 챔버에서 배출 포트 및 처리 영역 사이의 가스의 유동을 제어하기 위한 장치.
  10. 반도체 기판 처리 시스템으로서,
    처리 챔버;
    상기 챔버에 배치된 기판 지지 페데스탈;
    상기 지지 페데스탈 위의 처리 영역으로 처리 가스를 공급하기 위한, 상기 페데스탈 위의 상기 챔버에 형성된 가스 입구;
    상기 챔버의 벽에 형성된 배출 포트; 및
    상기 반도체 처리 챔버 내에서 지지되고 상기 기판 지지 페데스탈의 적어도 일부를 둘러싸며 상기 처리 영역 및 상기 배출 포트 사이를 흐르는 하나 이상의 가스의 유동을 제어하도록 구성된 하나 이상의 제한기 플레이트를 포함하는,
    반도체 기판 처리 시스템.
  11. 제 10 항에 있어서,
    상기 처리 챔버의 바닥부에 결합되도록 구성된 베이스; 및
    수직으로 이격된 방향으로 상기 베이스에 결합된 지지 링을 추가로 포함하고,
    상기 하나 이상의 제한기 플레이트가 상기 지지 링에 결합되는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  12. 제 11 항에 있어서,
    상기 베이스 및 상기 지지 링 사이에 결합된 다수의 지지 다리를 추가로 포함하는,
    반도체 기판 처리 시스템.
  13. 제 12 항에 있어서,
    상기 지지 다리가 상기 기판 지지 페데스탈의 기판 지지면에 의해 한정된 평면에 대해 평행하지 않은 방향으로 상기 지지 링을 보유하는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  14. 제 10 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 아치 형태를 갖는 다수의 제한기 플레이트인 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  15. 제 14 항에 있어서,
    상기 다수의 제한기 플레이트가 상기 기판 지지 페데스탈의 절반 이상을 둘러싸는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  16. 제 15 항에 있어서,
    상기 다수의 제한기 플레이트의 외부 에지의 일부 이상은, 상기 배출 포트에 인접한 챔버의 내벽 및 상기 외부 에지 사이에 한정된 갭을 감소시키는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  17. 제 10 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 하나의 제한기 플레이트인 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  18. 제 17 항에 있어서,
    상기 하나의 제한기 플레이트가 상기 기판 지지 페데스탈의 절반 이상을 둘러싸는, 적어도 부분적인 환형 형태를 갖는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  19. 제 18 항에 있어서,
    상기 하나의 제한기 플레이트가 상기 제한기 플레이트의 일부에서의 폭이 상기 제한기 플레이트의 다른 부분에서의 폭보다 넓어지는 폭을 갖는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  20. 제 19 항에 있어서,
    상기 넓은 폭을 갖는 일부가 상기 배출 포트에 인접하여 위치하는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  21. 제 20 항에 있어서,
    상기 하나의 제한기 플레이트의 외부 에지의 일부 이상이 상기 배출 포트에 인접한 섹션을 따르는 상기 챔버의 내벽 및 상기 외부 에지 사이에 한정된 갭을 감소시키는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  22. 제 10 항에 있어서,
    상기 하나 이상의 제한기 플레이트가 상기 기판 지지 페데스탈을 완전히 둘러싸는 환형 형태 및 상기 하나의 제한기 플레이트의 일부에서의 폭이 상기 하나의 제한기 플레이트의 다른 부분에서의 폭보다 넓어지는 폭을 갖는 하나의 제한기 플레이트이고,
    상기 하나의 제한기 플레이트의 외부 에지의 일부가 적어도 상기 배출 포트에 인접한 위치에 있는 상기 챔버의 내벽을 접하는 것을 특징으로 하는,
    반도체 기판 처리 시스템.
  23. 제 10 항에 있어서,
    상기 하나 이상의 제한기 플레이트의 외부 에지의 일부가 상기 배출 포트에 인접한 제 1 위치에서, 상기 제 1 위치보다 상기 배출 포트로부터 멀리 배치된 제 2 위치에서보다, 상기 챔버의 내벽에 더 가까운 것을 특징으로 하는,
    반도체 기판 처리 시스템.
KR1020067023427A 2004-04-08 2005-04-01 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치 KR101184070B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/821,310 US8236105B2 (en) 2004-04-08 2004-04-08 Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US10/821,310 2004-04-08
PCT/US2005/011309 WO2005101461A1 (en) 2004-04-08 2005-04-01 Apparatus for controlling gas flow in a semiconductor substrate processing chamber

Publications (2)

Publication Number Publication Date
KR20060129543A true KR20060129543A (ko) 2006-12-15
KR101184070B1 KR101184070B1 (ko) 2012-09-18

Family

ID=34965098

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067023427A KR101184070B1 (ko) 2004-04-08 2005-04-01 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치

Country Status (6)

Country Link
US (1) US8236105B2 (ko)
JP (1) JP5294626B2 (ko)
KR (1) KR101184070B1 (ko)
CN (1) CN100421211C (ko)
TW (1) TWI328619B (ko)
WO (1) WO2005101461A1 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4523352B2 (ja) * 2004-07-20 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0612814D0 (en) * 2006-06-28 2006-08-09 Boc Group Plc Method of treating a gas stream
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
EP1968098A1 (en) 2007-03-08 2008-09-10 Applied Materials, Inc. Suction device for plasma coating chamber
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
WO2010024036A1 (ja) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置のクリーニング方法
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8398814B2 (en) * 2009-07-08 2013-03-19 Applied Materials, Inc. Tunable gas flow equalizer
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9418880B2 (en) * 2011-06-30 2016-08-16 Semes Co., Ltd. Apparatuses and methods for treating substrate
JP2013045799A (ja) * 2011-08-22 2013-03-04 Nuflare Technology Inc 成膜装置および成膜方法
CN103021778B (zh) * 2011-09-21 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 气流均衡板、腔室装置和基片处理设备
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
CN103160814B (zh) * 2013-03-07 2015-04-08 中微半导体设备(上海)有限公司 反应室及其气流控制方法
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
JP5944883B2 (ja) * 2013-12-18 2016-07-05 東京エレクトロン株式会社 粒子逆流防止部材及び基板処理装置
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
WO2015151147A1 (ja) * 2014-03-31 2015-10-08 Sppテクノロジーズ株式会社 プラズマ処理装置
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
CN105742203B (zh) * 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
JP6573498B2 (ja) * 2015-07-22 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
KR20190092154A (ko) * 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
JP7093464B2 (ja) * 2018-08-01 2022-06-29 北京北方華創微電子装備有限公司 反応チャンバおよびプラズマ装置
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN112908886B (zh) * 2019-11-19 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体处理设备
CN113838730B (zh) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
US20220051912A1 (en) * 2020-08-12 2022-02-17 Taiwan Semiconductor Manufacturing Company Limited Gas flow control during semiconductor fabrication

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0237717A (ja) * 1988-07-27 1990-02-07 Tokyo Electron Ltd 処理装置
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
US6054043A (en) * 1995-03-28 2000-04-25 Simpson; Theodore B. Process for the hydrogenation of hydro-carbonaceous materials (Carb-Mat) for the production of vaporizable products
JP2927211B2 (ja) 1995-06-21 1999-07-28 国際電気株式会社 ウェーハ処理装置
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
KR19980071011A (ko) 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP3468446B2 (ja) 1997-05-20 2003-11-17 東京エレクトロン株式会社 プラズマ処理装置
JPH1074738A (ja) * 1997-07-11 1998-03-17 Kokusai Electric Co Ltd ウェーハ処理装置
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000348897A (ja) * 1999-05-31 2000-12-15 Sumitomo Metal Ind Ltd プラズマ処理装置
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
JP3996771B2 (ja) * 2000-01-12 2007-10-24 東京エレクトロン株式会社 真空処理装置及び真空処理方法
JP4409714B2 (ja) * 2000-04-07 2010-02-03 東京エレクトロン株式会社 枚葉式熱処理装置
WO2001099156A1 (en) * 2000-06-16 2001-12-27 Applied Materials, Inc. Configurable single substrate wet-dry integrated cluster cleaner
JP4731760B2 (ja) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 真空処理装置および真空処理方法
JP4236873B2 (ja) * 2002-06-21 2009-03-11 東京エレクトロン株式会社 マグネトロンプラズマ処理装置

Also Published As

Publication number Publication date
US20050224180A1 (en) 2005-10-13
TWI328619B (en) 2010-08-11
JP2007533138A (ja) 2007-11-15
WO2005101461A1 (en) 2005-10-27
KR101184070B1 (ko) 2012-09-18
WO2005101461B1 (en) 2005-12-15
JP5294626B2 (ja) 2013-09-18
CN100421211C (zh) 2008-09-24
CN1947221A (zh) 2007-04-11
US8236105B2 (en) 2012-08-07
TW200538577A (en) 2005-12-01

Similar Documents

Publication Publication Date Title
KR101184070B1 (ko) 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치
KR100978690B1 (ko) 가스 유동 확산기
KR100561848B1 (ko) 헬리컬 공진기형 플라즈마 처리 장치
KR100774228B1 (ko) 동적 가스 분배 제어를 갖는 플라즈마 처리 시스템
US6853141B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US5242539A (en) Plasma treatment method and apparatus
KR101857284B1 (ko) 플라즈마 프로세싱 챔버를 위한 가동 접지 링
US8382939B2 (en) Plasma processing chamber with enhanced gas delivery
JP3171182U (ja) プラズマ処理チャンバ用のc字形閉じ込めリング
US20090159002A1 (en) Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20080023143A1 (en) Capacitively coupled plasma reactor with magnetic plasma control
TWM547181U (zh) 利用二次電漿佈植的電漿蝕刻系統
US20100081284A1 (en) Methods and apparatus for improving flow uniformity in a process chamber
KR20060090745A (ko) 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터
JP5568608B2 (ja) プラズマ処理装置
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
JP2021019099A (ja) 載置台アセンブリ、基板処理装置及びエッジリング
US11244837B2 (en) Process gas supply apparatus and wafer treatment system including the same
US20210193439A1 (en) Plasma processing apparatus
KR20020004623A (ko) 반도체 플라즈마 식각 장비
JP2982767B2 (ja) ドライエッチング装置及びドライエッチング方法
KR200475446Y1 (ko) 플라즈마 프로세싱 챔버를 위한 낮은 경사의 엣지 링
KR20010006881A (ko) 반도체 시료의 처리 장치 및 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee