KR20090024307A - 성막 장치 - Google Patents

성막 장치 Download PDF

Info

Publication number
KR20090024307A
KR20090024307A KR1020097002784A KR20097002784A KR20090024307A KR 20090024307 A KR20090024307 A KR 20090024307A KR 1020097002784 A KR1020097002784 A KR 1020097002784A KR 20097002784 A KR20097002784 A KR 20097002784A KR 20090024307 A KR20090024307 A KR 20090024307A
Authority
KR
South Korea
Prior art keywords
gas
chamber
shower head
showerhead
temperature
Prior art date
Application number
KR1020097002784A
Other languages
English (en)
Other versions
KR100945320B1 (ko
Inventor
시게루 가사이
다카시 가케가와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090024307A publication Critical patent/KR20090024307A/ko
Application granted granted Critical
Publication of KR100945320B1 publication Critical patent/KR100945320B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

본 발명의 성막 장치는, 챔버를 규정하는 처리 용기와, 상기 챔버내에 설치되고 피처리 기판이 탑재될 수 있는 탑재대와, 상기 탑재대에 대향하여 설치되고 다수의 가스 토출 구멍을 갖는 샤워헤드와, 상기 샤워헤드를 거쳐 상기 챔버내에 처리 가스를 공급하는 가스 공급 기구와, 상기 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단을 구비한 것을 특징으로 하는 성막 장치이다.

Description

성막 장치{FILM FORMING DEVICE}
본 발명은 화학적 증착(CVD)에 의해 피처리 기판에 대하여 소정의 박막을 형성하는 성막 장치에 관한 것이다.
반도체 제조 공정에 있어서는, 피처리체인 반도체 웨이퍼에 형성된 배선 사이의 구멍을 매입하기 위해서, 또는 배리어층으로서 Ti, Al, Cu 등의 금속이나, WSi, TiN, TiSi 등의 금속 화합물을 퇴적시켜 박막을 형성하고 있다.
종래, 이들 금속이나 금속 화합물의 박막은 물리적 증착(PVD)을 사용하여 성막되어 있었다. 그러나, 최근에는 장치의 미세화 및 고집적화가 요구되고, 디자인 기준이 특히 엄격해지고 있다. 이 때문에, 매입성이 불량한 PVD로는 충분한 특성을 얻기 어렵다. 따라서, 이러한 박막은, 보다 양질의 막을 형성하는 것을 기대할 수 있는 CVD로 성막되기 시작하고 있다.
종래의 CVD 성막 장치로서, Ti 성막용의 것을 예로 들어 설명한다. 상기 Ti 성막용 CVD 성막 장치에서는, 히터를 갖는 챔버내에, 히터를 내장한 반도체 웨이퍼 탑재용 스테이지(또는, 탑재대라고 함)가 배치되어 있다. 스테이지의 상방에는, 처리 가스 토출용 샤워헤드가 스테이지에 대향하도록 설치되어 있다. 챔버는 소정 온도로 가열되고, 또한 챔버내는 소정의 진공도로 된다. 그리고, 스테이지상에 탑재된 반도체 웨이퍼가 소정의 온도로 가열되면서, 샤워헤드로부터는 TiCl4, H2 등의 처리 가스가 공급된다. 또한, 샤워헤드에는 고주파 전력이 공급되어, 이러한 가스가 플라즈마화된다. 이로써, 성막 처리가 실행된다.
그러나, 최근 반도체 웨이퍼가 300㎜로 대형화되고 있다. 이 때문에, 성막 장치도 대형화될 필요가 있다. 그것에 수반하여, 하기와 같은 문제점이 나타나기에 이르고 있다.
스테이지에 대향하여 설치된 샤워헤드는, 스테이지내의 히터가 승온되었을 때에, 그 복사열에 의해 가열된다. 그러나, 장치가 대형화하면, 샤워헤드도 대형화하여 그 열용량이 커지기 때문에, 승온시에 온도가 안정될 때까지 보다 장시간이 필요하다. 즉, 처리 효율이 불량해진다. 샤워헤드의 온도, 즉 샤워헤드의 표면의 온도가 안정되지 않은 상태에서 처리하면, 균일 처리가 이루어지지 않는다. 또한, 종래의 샤워헤드는, 처리시의 온도 안정성을 확보하기 위해서, 단열성이 높은 구조로 되어 있다. 이 때문에, 샤워헤드가 대형화하면, 예컨대 클리닝시에 소정의 온도까지 강온할 때에도, 역시 매우 장시간이 필요하다. 고온 상태에서 클리닝하면, 샤워헤드 부재에 손상을 준다.
또한, 아이들링(idling)시에는, 샤워헤드의 온도를 소정 온도로 유지하기 위해서, 스테이지 온도를 처리시보다도 높게 설정할 필요가 있었다. 이에 관하여, 상세히 설명한다. 종래는, 플라즈마 처리하면, 플라즈마에 의해 챔버내의 부재의 온도가 상승한다. 특히 샤워헤드 표면은, 웨이퍼면과 대향하여 플라즈마에 노출되는 면적이 크기 때문에, 온도가 높아지기 쉽다. 그러나, 아이들링이나 클리닝 후에 성막하면, 웨이퍼 첫 번째 장을 성막했을 때, 성막 속도가 낮은 경우가 있다. 이것은, 샤워헤드의 온도가 낮기 때문이라고 사료된다. 즉, 통상의 성막시에는 500℃ 정도의 온도가, 20℃ 내지 30℃ 정도 저하하게 될 것으로 사료된다. 이것을 방지하기 위해서, 아이들링이나 클리닝시에는, 스테이지 온도를 성막 온도 이상으로 설정할 필요가 있었다.
또한, 종래는, 샤워헤드의 유지 보수시, 샤워헤드를 포함하는 상부 리드를 90° 이하의 각도로 개방하여, 샤워헤드의 분리 등을 실행했다. 그러나, 성막 장치의 대형화에 따라 샤워헤드가 중량화 또는 대형화하면, 이러한 종래의 방법으로 샤워헤드의 유지 보수를 실행하기 어렵다.
본 발명은 이러한 사정을 감안하여 이루어진 것으로, 샤워헤드를 단시간에 소망하는 온도로 할 수 있고, 또한 샤워헤드의 온도 안정성이 높은 성막 장치를 제공하는 것, 및 샤워헤드의 유지 보수를 용이하게 실행할 수 있는 성막 장치를 제공하는 것을 목적으로 한다.
본 발명은, 챔버를 규정하는 처리 용기와, 상기 챔버내에 설치되고 피처리 기판이 탑재될 수 있는 탑재대와, 상기 탑재대에 대향하여 설치되고 다수의 가스 토출 구멍을 갖는 샤워헤드와, 상기 샤워헤드를 거쳐 상기 챔버내에 처리 가스를 공급하는 가스 공급 기구와, 상기 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단을 구비한 것을 특징으로 하는 성막 장치이다.
본 발명에 의하면, 샤워헤드에 온도 제어 수단이 설치되었기 때문에, 샤워헤드의 가열시에, 적극적으로 샤워헤드를 소망하는 온도로 제어할 수 있다. 따라서, 대형의 장치라도, 샤워헤드의 승온 및 강온(降溫)을 단시간에 실행할 수 있다. 또한, 샤워헤드를 적극적으로 온도 제어할 수 있음으로써, 샤워헤드의 온도 안정성을 높일 수도 있다.
또한, 예컨대 Ti의 성막 장치의 경우, 피처리 기판으로의 처리전의 샤워헤드 등으로 예비 코팅을 형성할 때나, 피처리 기판으로 성막할 때에, 샤워헤드 표면에도 성막된다. 이 때에, 안정한 막을 샤워헤드 표면에 성막시키는 데, 중간 반응에 서 생기는 TiClx를 휘발시킬 필요가 있다. 이 때문에, 샤워헤드는 425℃ 이상, 특히 500℃ 이상으로 승온될 필요가 있다. 종래의 기술에서는, 샤워헤드의 승온에 장시간을 필요로 하거나, 샤워헤드가 소망하는 온도로 되는지가 불명확하기 때문에, 안정된 막을 생성할 수 없는 경우도 생기고 있었다. 그러나, 샤워헤드에 온도 제어 수단을 설치함으로써, 성막이나 예비 코팅시에 샤워헤드를 소망 온도로 제어할 수 있고, 안정한 막을 샤워헤드에 확실히 형성할 수 있다. 이로써, 최초의 성막을 안정되게 실행할 수 있다.
바람직하게는, 상기 처리 용기는 진공 흡인 가능하게 구성되어 있다.
또한 바람직하게는, 상기 성막 장치는 상기 탑재대를 가열하는 가열 수단을 더 구비하고 있다.
또한 바람직하게는, 상기 샤워헤드는 가스 토출 구멍이 나타나 있는 면을 포함하는 챔버 내측 부분과, 챔버 외측의 대기와 접촉하고 있는 대기측 부분을 갖고 있고, 상기 샤워헤드 온도 제어 수단은 상기 대기측 부분에 설치되어 있다.
이 경우, 상기 샤워헤드 온도 제어 수단을 대기 분위기로 취급할 수 있다.
또한 바람직하게는, 상기 성막 장치는 상기 챔버를 가열하는 제 2 가열 수단을 더 구비하고 있다.
또한 바람직하게는, 상기 샤워헤드 온도 제어 수단은 상기 샤워헤드를 가열하는 가열 기구와, 상기 샤워헤드를 냉각하는 냉각 기구와, 상기 샤워헤드의 온도를 검출하는 온도 검출 기구와, 상기 온도 검출 기구의 검출 결과에 기초하여 적어 도 상기 가열 기구를 제어하는 컨트롤러를 갖는다.
이 경우, 승온 및 강온중 어느 경우에도, 샤워헤드를 신속하게 소망하는 온도로 하는 것이 가능하다.
또한, 이 경우, 보다 바람직하게는, 상기 가열 기구는 상기 샤워헤드의 내측 부분을 가열하는 내측 히터와, 상기 샤워헤드의 외측 부분을 가열하는 외측 히터를 갖고, 상기 온도 검출 기구는 상기 내측 부분의 온도를 검출하는 내측 온도 검출부와, 상기 외측 부분의 온도를 검출하는 외측 온도 검출부를 갖는다.
이 경우, 보다 바람직하게는, 상기 컨트롤러는 상기 내측 온도 검출부의 검출값이 설정 온도로 되도록 내측 히터를 제어하는 동시에, 상기 내측 온도 검출부의 검출값과 상기 외측 온도 검출부의 검출값의 차이가 제로가 되도록 외측 히터를 제어하도록 되어 있다.
이 경우, 샤워헤드의 외측 부분으로부터의 열의 발산을 억제할 수 있기 때문에, 보다 고정밀도의 온도 제어를 실현할 수 있다.
또한 바람직하게는, 상기 샤워헤드의 상기 챔버와 반대측의 면에는 단열 부재가 배치되어 있다.
이 경우, 처리 중에 있어서의 샤워헤드로부터의 열의 발산을 효과적으로 억제할 수 있다.
또한 바람직하게는, 상기 샤워헤드는 샤워헤드 본체와, 샤워헤드 본체의 외주 상방으로 연속하는 환형의 지지부를 갖고, 상기 지지부는 리브 구조를 갖고 있다.
이 경우, 지지부의 리브 구조 이외의 부분을 얇게 할 수 있기 때문에, 지지부로부터의 열의 발산을 적게 할 수 있다. 이로써, 온도 제어성을 보다 높일 수 있다.
이 경우, 보다 바람직하게는, 상기 샤워헤드 본체의 상방에서, 상기 지지부의 내측에는 단열재가 배치되어 있다.
또한 바람직하게는, 상기 샤워헤드와 상기 처리 용기 사이에는 환형의 충전 부재와, 상기 충전 부재를 상기 샤워헤드 또는 상기 처리 용기에 지지하기 위한 지지 부재가 배치되어 있다.
이 경우, 보다 바람직하게는, 상기 충전 부재와 상기 지지 부재 사이에 탄성 부재가 개재된다. 이 경우, 충전 부재로서 석영이나 세라믹스 등을 사용하는 경우라도, 그것들의 파손을 방지할 수 있다.  또한, 상기 탄성 부재에 의해, 상기 충전 부재와 상기 지지 부재의 간극을 균등하게 할 수 있다.
또한 바람직하게는, 상기 성막 장치는 상기 챔버내에 처리 가스의 플라즈마를 생성하기 위한 플라즈마 생성 수단을 더 구비하고 있다.
또한 바람직하게는, 상기 성막 장치는 상기 샤워헤드를 상기 챔버의 외측으로 선회하여 반전시키는 반전 기구를 더 구비하고 있다.
이 경우, 샤워헤드는 상기 챔버의 외측으로 선회되어 반전됨으로써, 거의 완전히 챔버 밖으로 배출될 수 있다. 이로써, 샤워헤드의 유지 보수를 매우 용이하게 실행하는 것이 가능해진다.
또한, 본 발명은, 챔버를 규정하는 처리 용기와, 상기 챔버내에 설치되고 피 처리 기판이 탑재될 수 있는 탑재대와, 상기 탑재대에 대향하여 설치되고 다수의 가스 토출 구멍을 갖는 샤워헤드와, 상기 샤워헤드를 거쳐 상기 챔버내에 처리 가스를 공급하는 가스 공급 기구와, 상기 샤워헤드를 상기 챔버의 외측으로 선회하여 반전시키는 반전 기구를 구비한 것을 특징으로 하는 성막 장치이다.
본 발명에 의하면, 샤워헤드가 챔버의 외측으로 선회되어 반전됨으로써, 거의 완전히 챔버 밖으로 배출될 수 있다. 이로써, 샤워헤드의 유지 보수를 매우 용이하게 실행하는 것이 가능해진다.
바람직하게는, 상기 샤워헤드와 상기 처리 용기 사이에는, 환형의 충전 부재와, 상기 충전 부재를 상기 샤워헤드 또는 상기 처리 용기에 지지하기 위한 지지 부재가 배치되어 있다.
이 경우, 보다 바람직하게는, 상기 충전 부재와 상기 지지 부재 사이에 탄성 부재가 개재된다. 이 경우, 충전 부재로서 석영이나 세라믹스 등을 사용하는 경우라도, 그것들의 파손을 방지할 수 있다. 또한, 상기 탄성 부재에 의해, 상기 충전 부재와 상기 지지 부재의 간극을 균등하게 할 수 있다.
보다 바람직하게는, 상기 지지 부재는 상기 샤워헤드를 반전시킨 상태에서, 외측으로 분리 가능하고, 상기 충전 부재는 상기 지지 부재가 외측으로 분리된 상태에서, 상방으로 분리 가능하다.
본 발명에 의하면, 샤워헤드에 온도 제어 수단이 설치되었기 때문에, 샤워헤드의 가열시에, 적극적으로 샤워헤드를 소망하는 온도로 제어할 수 있다. 따라서, 대형의 장치라도, 샤워헤드의 승온 및 강온(降溫)을 단시간에 실행할 수 있다. 또한, 샤워헤드를 적극적으로 온도 제어할 수 있음으로써, 샤워헤드의 온도 안정성을 높일 수도 있는 효과가 있다.
이하, 본 발명의 일 실시예의 Ti 박막 형성용 CVD 성막 장치가 구체적으로 설명된다.
도 1은 본 발명의 일 실시예에 따른 Ti 박막 형성용 CVD 성막 장치를 나타내는 단면도이고, 도 2는 도 1의 CVD 성막 장치의 샤워헤드 상부를 나타내는 평면도이다. 이 성막 장치(1)는 기밀하게 구성된 대략 원통형 혹은 박스 형상의 챔버(2)를 갖고 있다. 챔버(2)의 속에는 피처리체인 반도체 웨이퍼(W)를 수평으로 탑재하기 위한 스테이지(3)가 설치되어 있다. 챔버(2)의 바닥부 중앙에는, 하방으로 돌출하는 스테이지 유지 부재(7)가 밀봉 링을 거쳐 장착되어 있다. 스테이지(3)의 바닥면에 접합된 원통형의 지지 부재(4)가 스테이지 유지 부재(7)에 대하여 고정되어 있다. 챔버(2) 및 스테이지 유지 부재(7)는, 도시하지 않은 가열 기구를 갖고 있다. 상기 가열 기구가, 도시하지 않은 전원으로부터 전력 공급됨으로써, 챔버(2) 및 스테이지 유지 부재(7)는 소정의 온도로 가열된다.
스테이지(3)의 외연부에는 플라즈마의 생성을 안정시키는 링(5)이 설치되어 있다. 또한, 스테이지(3)내에는 히터(6)가 내장되어 있다. 이 히터(6)가 도시하지 않은 전원으로부터 전력 공급됨으로써, 스테이지(3)상에 탑재되는 피처리체인 반도체 웨이퍼(W)가 소정의 온도로 가열된다.
챔버(2)의 상부에는 스테이지(3)에 대향하도록 샤워헤드(10)가 설치되어 있다. 이 샤워헤드(10)는 상단 플레이트(10a), 중단 플레이트(10b) 및 하단 플레이트(10c)를 갖고 있다. 샤워헤드(10)의 평면 형상은 원형이다.
상단 플레이트(10a)는, 중단 플레이트(10b) 및 하단 플레이트(10c)와 함께 샤워헤드 본체 부분을 구성하는 수평부(10d)와, 이 수평부(10d)의 외주 상방으로 연속하는 환형의 지지부(10e)를 갖고 있다. 상단 플레이트(10a)는 전체적으로 오목 형상이다. 이 지지부(10e)의 내측에는, 도 1 및 도 2에 도시하는 바와 같이, 샤워헤드(10)의 중심을 향해 등간격으로 리브(10f)가 배치되어 있다. 리브(10f)가 형성됨으로써, 지지부(10e)의 열 변형에 대한 강도나 지지부(10e)의 지지 강도가 높아지는 한편, 지지부(10e)의 다른 부분의 두께를 얇게 할 수 있다. 이로써, 샤워헤드(10)로부터의 열의 발산을 억제할 수 있다.
바람직하게는, 리브(10f)는 중심을 향해 5㎜ 이상, 특히 10㎜ 이상이 돌출하고 있다. 또한 바람직하게는, 리브(10f)의 폭은 2㎜ 이상, 특히 5㎜ 이상이다. 또한 바람직하게는, 리브(10f)는 등간격으로 설치된다.
상단 플레이트(10a)는 베이스 부재로서 기능한다. 이 상단 플레이트(10a)의 수평부(10d)의 외주의 하부에, 환형으로 형성된 오목 형상의 중단 플레이트(10b)의 외주의 상부가 나사 고정되어 있다. 또한, 중단 플레이트(10b)의 하면에, 하단 플레이트(10c)의 상면이 나사 고정되어 있다. 상단 플레이트(10a)의 수평부(10d)의 하면과 오목부를 갖는 중단 플레이트(10b)의 상면 사이에 공간(11a)이 기밀하게 형성되어 있다. 중단 플레이트(10b)의 하면에는, 복수의 홈이 방사상으로 균등하게 형성되어 있다. 중단 플레이트(10b)와 하단 플레이트(10c)도 기밀하게 접합되고, 중단 플레이트(10b)의 하면에 형성된 복수의 홈과 하단 플레이트(10c)의 상면 사이에 공간(11b)이 형성되어 있다. 중단 플레이트(10b)에는, 공간(11a)으로부터 중단 플레이트(10b)에 형성되는 복수의 구멍을 거쳐 하단 플레이트(10c)를 향해 관통하는 다수의 제 1 가스 통로(12a)와, 공간(11a)으로는 연통하지 않고 공간(11b)과 연통하는 제 2 가스 통로(12b)가 형성되어 있다. 하단 플레이트(10c)에는, 제 1 가스 통로(12a)로 연통하는 다수의 제 1 가스 토출 구멍(13a)과, 공간(11b)으로 연통하는 다수의 제 2 가스 토출 구멍(13b)이 형성되어 있다.
여기서, 중단 플레이트(10b)에 형성되는 제 1 가스 통로(12a)의 내경은, 예컨대 0.5㎜ 내지 3㎜, 바람직하게는 1.0㎜ 내지 2.0㎜이다. 또한, 하단 플레이트에 형성되는 제 1 가스 토출 구멍(13a)의 내경은, 2단 구조로 되어 있고, 공간(11a)측이 예컨대 ø1.0㎜ 내지 ø3.5㎜, 바람직하게는 ø1.2㎜ 내지 ø2.3㎜이고, 하면의 개구측이 예컨대 ø0.3㎜ 내지 ø1.0㎜, 바람직하게는 ø0.5㎜ 내지 ø0.7㎜로 되어 있다.
상단 플레이트(10a)의 상면에는 제 1 가스 도입관(14a)과 제 2 가스 도입관(14b)이 접속되어 있다. 그리고, 제 1 가스 도입관(14a)은 공간(11a)으로 연통하고 있고, 제 2 가스 도입관(14b)은 중단 플레이트(10b)의 제 2 가스 통로(12b) 및 공간(11b)으로 연통하고 있다. 따라서, 제 1 가스 도입관(14a)으로부터 도입되는 가스는 공간(11a) 및 제 1 가스 통로(12a)를 통과하여 제 1 가스 토출 구멍(13a)으로부터 토출된다. 한편, 제 2 가스 도입관(14b)으로부터 도입되는 가스 는 제 2 가스 통로(12b)를 통해 공간(11b)으로 도입되고, 제 2 가스 토출 구멍(13b)으로부터 토출된다. 즉, 샤워헤드(10)는 제 1 가스 도입관(14a)으로부터 공급되는 가스와 제 2 가스 도입관(14b)으로부터 공급되는 가스가 독립적으로 챔버(2)내에 공급되는 포스트믹스 타입(postmix type)으로 되어 있다. 즉, 제 1 가스 도입관(14a)으로부터 공급되는 가스와 제 2 가스 도입관(14b)으로부터 공급되는 가스는 샤워헤드(10)내에서 혼합되지 않은 상태로 별도로 공급된다.
여기서, 도 7은 도 1의 샤워헤드(10)의 확대도이다. 도 1 및 도 7에 도시하는 바와 같이, 제 2 처리 가스를 도입하는 제 2 가스 도입관(14b)과의 접속부를 둘러싸는 상단 플레이트(10a)의 부분의 하면과 중단 플레이트(10b)의 제 2 가스 통로(12b)를 형성하는 부분의 플랜지(10g) 사이에, 밀봉 링(10h)을 개재할 수 있다. 이로써, 제 1 가스 도입관(14a) 및 제 2 가스 도입관(14b)으로부터 공급되는 각각의 가스가 혼합되는 것을 보다 확실히 방지할 수 있다.
도 7의 A-A선 단면도를 도 8에 나타내며, 도 7의 B-B선 단면도를 도 9에 나타낸다. 도 7 및 도 8에 있어서, 참조 부호(101)는 볼트이다. 볼트(101)는 중단 플레이트(10b)와 하단 플레이트(10c)를 체결하고 있다. 도 9에 있어서의 화살표는 제 2 가스 통로(12b)로부터 공간(11b)내로 공급되는 가스의 흐름 방향을 나타내고 있다.
도 7 및 도 9에 도시하는 바와 같이, 제 2 가스 통로(12b)의 하단의 좌우에는 가스 방출구로서의 슬릿(12c)이 형성되어 있다. 슬릿(12c)이 형성되는 방향은 좌우가 아니고, 상하일 수도 있고, 경사 방향일 수도 있다. 또한, 슬릿(12c) 대신 에, 방출 구멍이 형성될 수도 있다. 방출 구멍의 직경은 1.0㎜ 내지 3.0㎜, 특히 2.0㎜이 바람직하다. 방출 구멍의 개수도 임의적이다.
한편, 도 1에 도시하는 바와 같이, 상단 플레이트(10a)에 접속된 제 1 가스 도입관(14a) 및 제 2 가스 도입관(14b)의 기단부에는, 플랜지(14)가 공통적으로 용접되어 있다. 플랜지(14)에는 제 1 가스 통로(24a) 및 제 2 가스 통로(24b)를 갖는 절연 부재(24)가 접속되어 있다. 절연 부재(24)의 다른쪽에는 제 1 가스 통로(26a) 및 제 2 가스 통로(26b)를 갖는 가스 도입 부재(26)가 접속되어 있다. 그리고, 가스 도입 부재(26)는 리드 부재(15)의 상면에 접속되어 있다. 리드 부재(15) 및 챔버(2)는 각각 제 1 가스 통로(15a, 2a) 및 제 2 가스 통로(15b, 2b)를 갖고 있다. 플랜지(14)로부터 챔버(2)까지의 제 1 가스 통로(24a, 26a, 15a, 2a), 및 제 2 가스 통로(24b, 26b, 15b, 2b)는, 각각 일련으로 연통하고 있으며, 접속부에는 O링 등의 밀봉 링이 개재되어 있다. 또한, 제 1 가스 배관(25a)이 챔버(2)의 제 1 가스 통로(2a)에 접속되고, 제 2 가스 배관(25b)이 제 2 가스 통로(2b)에 접속되어 있다. 가스 배관(25a, 25b)의 기단부에는 가스 공급부(30)가 접속되어 있다.
가스 공급부(30)는 클리닝 가스인 ClF3 가스를 공급하는 ClF3 가스 공급원(31), 성막 가스인 TiCl4 가스를 공급하는 TiCl4 가스 공급원(32), 캐리어 가스인 Ar 가스를 공급하는 Ar 가스 공급원(33), 환원 가스인 H2 가스를 공급하는 H2 가스 공급원(34) 및 Ti막의 질화시에 사용하는 NH3 가스를 공급하는 NH3 가스 공급원(35) 을 갖고 있다. ClF3 가스 공급원(31), TiCl4 가스 공급원(32), Ar 가스 공급원(33)에는 각각 가스 배관(36, 37, 38)이 접속되어 있고, 이들 가스 배관(36, 37, 38)은 제 2 가스 배관(25b)에 접속되어 있다. 또한, H2 가스 공급원(34) 및 NH3 가스 공급원(35)에는 각각 가스 배관(39, 40)이 접속되어 있고, 이들 가스 배관(39, 40)은 제 1 가스 배관(25a)에 접속되어 있다.
따라서, ClF3 가스 공급원(31), TiCl4 가스 공급원(32), Ar 가스 공급원(33)으로부터의 각 가스는, 가스 배관(25b)을 거쳐, 상기 각 부재의 제 2 가스 통로(2b, 15b, 26b, 24b) 및 제 2 가스 도입관(14b)을 통과하여, 샤워헤드(10)의 중단 플레이트(10b)의 제 2 가스 통로(12b)에 이른다. 이어서 공간(11b)에 도입되고, 하단 플레이트(10c)의 제 2 가스 토출 구멍(13b)으로부터 토출된다.
또한, H2 가스 공급원(34), NH3 가스 공급원(35)으로부터의 가스는, 제 1 가스 배관(25a)을 거쳐, 상기 각 부재의 제 1 가스 통로(2a, 15a, 26a, 24a) 및 가스 도입관(14a)을 통과하여, 샤워헤드(10)의 공간(11a)에 도입된다. 이어서 중단 플레이트(10b)의 제 1 가스 통로(12a)를 통과하여, 하단 플레이트(10c)의 제 1 가스 토출 구멍(13a)으로부터 토출된다.
따라서, 성막 처리시, TiCl4 가스와 H2 가스는, 가스의 공급 도중에는 혼합되지 않은 상태로, 챔버내로 토출된 후에 혼합된다. 플라즈마가 형성되어, 소망하는 반응이 일어나고, 반도체 웨이퍼(W)상에 Ti막이 형성된다. 또한, 각 가스 공급원 으로부터의 가스 배관(36, 37, 38, 39, 40)에는, 모두 질량 유동 컨트롤러(41)와 상기 질량 유동 컨트롤러(41)를 끼우도록 설치된 한쌍의 개폐 밸브(42, 43)가 설치되어 있다. 여기에는 도시되지 않았지만, 가스 공급부(30)는, N2 가스 공급원이나 그 밖의 배관, 개폐 밸브 등을 갖고 있다. 또한, 예컨대, 가스 도입 부재(26)내에 형성되는 제 1 가스 통로(26a)와 제 2 가스 통로(26b)에 접속하는 가스 공급원을 변경함으로써, 공간(11a, 11b)에 공급되는 가스를 변경할 수도 있다.
상기 챔버(2)의 상면에는 개구를 갖는 리드 부재(15)가 장착되어 있다. 이 리드 부재(15)의 내주 부분에는 환형의 절연 부재(16)가 장착되어 있다. 그리고, 절연 부재(16)를 거쳐 상기 상단 플레이트(10a)의 지지부(10e)가 지지되어 있다. 보온을 위해, 그 상부가 환형의 절연 부재(21)에 의해 덮여 있다. 절연 부재(21)는 리드 부재(15)에 지지되어 있다. 절연 부재(16)는, 샤워헤드(10)와 챔버(2) 사이를 절연하는 효과와, 단열의 효과를 갖고 있다. 또한, 챔버(2)와 리드 부재(15) 사이, 리드 부재(15)와 절연 부재(16) 사이, 절연 부재(16)와 지지부(10e) 사이에는 각각 O링 등의 밀봉 링이 개재되어 있다. 이에 의해, 기밀 상태가 형성되어 있다.
상단 플레이트(10a)의 수평부(10d)의 상면에는, 스테이지(3)상에 탑재되는 반도체 웨이퍼(W) 전면에 대응하도록, 내측 히터(17)가 배치되어 있다. 내측 히터(17)는, 예컨대 얇은 판 형상의 히터재를 운모(mica) 절연판으로 샌드위치 구조로 끼워서 구성될 수 있다. 내측 히터(17)의 외측을 에워싸도록, 원형 환형(도넛 형상)의 외측 히터(18)가, 예컨대 시스 히터(sheath heater)가 끼워맞춰져 있다[도 14에는, 내측 히터(17)와 동일한 히터를 외측 히터로서 배치한 구성이 도시되어 있다.] 이들 히터는 후술하는 샤워헤드 온도 제어 수단의 구성 요소로서 기능한다.
내측 히터(17)의 상방에는 공간(19)이 형성되어 있다. 공간(19)의 상방에는 단열 부재(20)가 설치되어 있다. 단열 부재(20)는, 예컨대 Al2O3 등의 세라믹이나 수지재일 수 있다. 단열 부재(20)는 냉각 가스 통로(20a)와 배출구(20b)를 갖고 있다. 내측 냉각용 건식 공기 공급 배관(61a)이 냉각 가스 통로(20a)의 상부에 접속되어 있다. 또한, 상단 플레이트(10a)의 지지부(10e)의 상방에는 외측 냉각용 건식 공기 공급 배관(61b)이 배치되어 있다. 이 배관(61b)은 절연 부재(21)의 내주측에 따른 배관 부분(61c)을 갖고 있고, 상기 배관 부분(61c)에, 건식 공기를 토출하기 위한 다수의 취출 구멍이 균등하게 하향으로 형성되어 있다. 토출되는 건식 공기는 절연 부재(16)와 단열재(20)의 간극 및 그 주변에 공급되어, 외측 히터 및 그 주변을 냉각하도록 되어 있다.
또한, 샤워헤드(10)의 상단 플레이트(10a)의 상면에는 전력 공급봉(45)이 접속되어 있다. 이 전력 공급봉(45)에는 정합기(46)를 거쳐 고주파 전원(47)이 접속되어 있다. 그리고, 이 고주파 전원(47)으로부터 샤워헤드(10)에 고주파 전력이 공급된다. 이로써, 고주파 전계가 형성되고, 챔버(2)내에 공급된 처리 가스가 플라즈마화되어, 성막 반응이 촉진된다.
샤워헤드(10)의 하부의 주위, 특히 상단 플레이트(10a), 중단 플레이트(10b) 및 하단 플레이트(10c)의 측방과 절연 부재(16)의 하면과 리드 부재(15)의 하면과 챔버(2)의 측벽으로 둘러싸인 공간 부분에 플라즈마가 형성되는 것을 방지하기 위해서, 환형의 석영제 필러(또는, 충전 부재라고 함)(48)가 설치되어 있다. 도 3에 도시하는 바와 같이, 필러(48)는 그 외측 부분에 오목부(48a)를 갖는다. 이 오목부(48a)에, 리드 부재(15)에 나사 고정된 복수개의 지지 부재(49)의 볼록부(49a)가 끼워넣어져, 필러(48)가 지지되도록 되어 있다. 그리고, 필러(48)의 오목부(48a)의 측면과 지지 부재(49)의 볼록부(49a)의 측면 사이에는 불소계의 고무 등의 탄성 재료로 이루어진 탄성 부재(50)가 개재되어 있다. 탄성 부재(50)의 존재에 의해, 샤워헤드(10)의 중심 맞춤을 용이하게 실행할 수 있는 동시에, 필러(48)의 착탈을 간략화할 수 있다. 또한, 열에 의한 신축으로 인한 필러(48)의 파손을 방지할 수 있다. 또한, 필러(48)와 리드 부재(15) 사이에도 탄성 부재(51)가 개재되어 있다. 이 탄성 부재(51)도 필러(48)의 파손 방지 기능을 갖는다.
챔버(2)의 바닥부에 장착된 원통형의 스테이지 유지 부재(7)의 바닥부의 측벽에는 배기관(52)이 접속되어 있다. 이 배기관(52)에는 배기 장치(53)가 접속되어 있다. 이에 의해, 챔버(2)내가 배기되도록 되어 있다. 도시하지 않지만, 이 배기 장치(53)의 상류측에는 미반응물이나 부생성물을 포착하는 장치가 설치되어 있다. 그리고 이 배기 장치(53)를 작동시킴으로써, 챔버(2)내가 소정의 진공도까지 감압될 수 있다. 또한, 리드 부재(15)상에는 실드 박스(23)가 설치되고, 그 상부에는 배기 포트(54)가 설치되어 있다. 이 배기 포트(54)로부터, 실드 박스(23) 내의 내측 건식 공기 및 외측 건식 공기의 열 배기가 이루어지도록 되어 있다.
또한, 본 실시예에 따른 CVD 성막 장치(1)는 샤워헤드(10)의 온도를 제어하는 샤워헤드 온도 제어 수단(60)을 갖고 있다. 이하, 이 온도 제어 수단(60)에 대하여 상세히 설명한다.
이 샤워헤드 온도 제어 수단(60)은 가열 기구로서의 상술한 내측 히터(17) 및 외측 히터(18), 냉각 기구로서의 건식 공기를 공급하는 건식 공기 공급 배관(61a, 61b), 및 내측 히터(17), 외측 히터(18), 샤워헤드(10)의 하단 플레이트(10c)의 온도를 모니터링하는 열전대(65a, 65b, 66a, 66b)로 이루어지는 온도 검출 기구와, 이들을 제어하는 컨트롤러(62)를 주요 구성 요소로서 갖고 있다.
도 4에 확대하여 도시하는 바와 같이, 내측 히터(17)에는 전원(63)이 접속되어 있고, 외측 히터(18)에는 전원(64)이 접속되어 있다. 또한, 샤워헤드(10)의 상단 플레이트(10a)상의 내주측에 배치하는 내측 히터(17)에 대응하는 위치에는, 온도를 검출하기 위한 열전대(65a)가, 상단 플레이트상의 열전도율이 높은 절연판(131)에 접하고, 열전대(65b)가 하단 플레이트내에 접하여 설치되어 있다. 상단 플레이트(10a)상의 외주측에 배치하는 외측 히터(18)에 대응하는 위치에는, 상단 플레이트(10a)의 외측과 하단 플레이트(10c)의 외측의 온도를 검출하기 위한 열전대(66a, 66b)가 하단 플레이트내에 접하여 설치되어 있다. 이들 열전대(65a, 65b, 66a, 66b)는 각각 복수개 설치할 수 있다. 또한, 컨트롤러(62)의 지령 및 열전대(65a, 65b)의 검출 신호에 기초하여, 내측 히터(17)의 출력을 PID 제어하여 온도 조절을 실행하는 내측 온도 제어기(67)가 설치되며, 컨트롤러(62)의 지령 및 열전 대(66a, 66b)의 검출 신호에 기초하여, 외측 히터(18) 등의 출력을 PID 제어하여 온도 조절을 실행하는 외측 온도 제어기(68)가 설치되어 있다. 그리고, 이들 온도 제어기(67, 68)에 의해, 가열시에 있어서의 샤워헤드(10)의 온도 제어가 실현된다.
한편, 상기 건식 공기 공급 배관(61a)으로부터 공급되는 건식 공기는, 냉매체로서, 단열 부재(20)의 냉각 가스 통로(20a)를 통해 공간(19)으로 도입된다. 그리고, 내측 히터(17)로부터 공간(19)내로 방출된 열을 빼앗아, 배출구(20b)를 거쳐 리드 부재(15) 상부에 설치된 실드 박스(23)의 배기 포트(54)로부터 배출된다. 한편, 건식 공기 공급 배관(61b)으로부터 공급되는 건식 공기는 배관 하방의 토출 구멍으로부터 토출되고, 외측 히터(18) 등의 샤워헤드의 외측부의 열을 빼앗아, 실드 박스(23)의 배기 포트(54)로부터 배출된다. 건식 공기 공급 배관(61a, 61b)에는 각각 공기 작동 밸브(69a, 69b)가 설치되어 있다. 이러한 공기 작동 밸브(69a, 69b)는 컨트롤러(62)에 의해 제어된다.
이러한 샤워헤드 제어 수단(60)을 사용한 가열시에 있어서는, 도 5에 도시하는 바와 같은 제어에 의해 바람직한 온도 제어를 실현할 수 있다. 도 5에 도시하는 제어에 있어서는, 우선 컨트롤러(62)로 온도 설정이 실행된다. 그리고, 온도 제어기(67)가 열전대(65a, 65b)의 검출 온도가 설정 온도로 되도록, 내측 히터(17)의 출력을 제어한다. 열전대(65a, 65b)의 검출값은 컨트롤러(62)를 거쳐 온도 제어기(68)에도 출력된다. 그리고, 외측 히터(18)에 대응하는 위치의 열전대(66a, 66b)의 검출 온도와 내측 히터(17)에 대응하는 위치의 열전대(65a, 65b)의 검출 온도의 차이가 0이 되도록, 온도 제어기(68)가 외측 히터(18)의 출력을 제어한다. 이에 의해, 샤워헤드(10)의 외측 부분의 온도와 내측 부분의 온도가 대략 동일해지도록 제어된다.
또한, 샤워헤드(10)의 상단 플레이트(10a)의 상면 및 그 위의 부분은 대기 분위기에 노출되어 있다. 샤워헤드 온도 제어 수단(60)의 열전대(65b, 66b)는 진공일 수 있는 샤워헤드내에 배치되어 있지만, 그 이외의 구성 요소는 대기 분위기내에 배치된다.
또한, 도 2에 도시하는 바와 같이, 샤워헤드(10)는 힌지 기구를 갖는 반전 기구(80)에 의해 챔버(2)밖으로 반전 가능하도록 되어 있다. 이에 의해, 샤워헤드(10)는, 도 6에 도시하는 바와 같이, 그 가스 토출면을 위로 향한 상태에서 거의 완전히 챔버(2) 밖에 존재시킬 수 있다. 따라서, 샤워헤드(10)의 유지 보수를 매우 용이하게 실행하는 것이 가능해진다. 구체적으로는, 도 6의 상태로부터, 우선 복수의 지지 부재(49)가, 고정 나사를 분리함으로써 용이하게 외측으로 분리될 수 있다[화살표(①)]. 지지 부재(49)가 분리되면, 필러(48)를 상방으로 용이하게 분리하는 것이 용이할 수 있다[화살표(②)]. 그리고, 필러(48)가 분리되면, 샤워헤드(10) 자체의 유지 보수가 가능해진다. 예컨대, 하단 플레이트(10c) 및 중단 플레이트(10b)를 용이하게 상방으로 분리하는 것이 가능해진다[화살표(③)]. 샤워헤드(10)를 반전시킨 후에는, 샤워헤드(10)가 180° 회전한 위치에 유지되는 것이 바람직하지만, 회전의 각도는 180° 근방의 각도이면 무방하다. 또한, 샤워헤드(10)를 이러한 각도로 유지시키기 위해서는, 예컨대 가스 스프링 등을 사용할 수 있다.
다음에, 이와 같이 구성되는 CVD 성막 장치(1)의 처리 동작에 대하여 설명한 다. 처음에, 반도체 웨이퍼(W)상에 Ti 박막을 형성하는 데 앞서, 다음 순서로 샤워헤드(10)나 스테이지(3) 등의 표면상에 예비 코팅막을 성막한다. 우선, 챔버(2) 주변, 스테이지(3)의 히터(6), 샤워헤드(10)의 내측 및 외측 히터(17, 18)가 가열된다. 그리고, 배기 장치(53)에 의해 챔버(2)내가 배기되면서, 소정의 가스가 소정의 유량비로 챔버(2)내에 도입되어, 챔버(2)내가 소정의 압력으로 된다. 이어서, H2 가스, TiCl4 가스, 그 밖의 가스를 포함하는 성막 가스가 소정 유량으로 챔버(2)내로 공급되고, 고주파 전원(47)으로부터 샤워헤드(10)에 고주파 전력이 공급되며, 챔버(2)내에 플라즈마가 생성되어, 샤워헤드(10)나 스테이지(3) 등의 상부에 Ti막이 성막된다. 이어서, 고주파 전원(47)의 전력 공급 및 TiCl4  가스의 공급이 정지한다. 이어서, NH3 가스 및 그 밖의 가스가 소정 유량으로 공급되고, 다시 고주파 전원(47)으로부터 샤워헤드(10)에 고주파 전력이 공급되어 플라즈마가 생성되며, 성막된 Ti막의 표면이 질화되어, 샤워헤드(10) 및 스테이지(3) 등의 상부에 안정된 예비 코팅막이 형성된다. 질화 처리 종료후, 고주파 전원(47)의 전력 공급, 및 NH3 가스의 공급이 정지한다.
예비 코팅 처리 종료후, 도시하지 않은 게이트 밸브가 개방되고, 반도체 웨이퍼(W)가 챔버(2)내로 반입되어, 스테이지(3)상에 탑재된다. 이어서, H2 가스, TiCl4 가스, 그 밖의 가스가 소정 유량으로 공급되고, 고주파 전원(47)으로부터 샤워헤드(10)로 고주파 전력이 공급되며, 챔버(2)내에 플라즈마가 생성되어, 반도체 웨이퍼(W)상에 Ti막이 성막된다. 이어서, 고주파 전원(47)의 전력 공급 및 TiCl4 가스의 공급이 정지한다. 이어서, NH3 가스 및 그 밖의 가스가 소정 유량으로 공급되고, 다시 고주파 전원(47)으로부터 샤워헤드(10)에 고주파 전력이 공급되어 플라즈마가 생성되며, 반도체 웨이퍼(W)에 성막된 Ti막이 질화된다. 질화 처리 종료후, 고주파 전원(47)의 전력 공급 및 NH3의 공급이 정지한다. 이와 같이 하여 성막 처리가 종료한 후, 챔버(2)로부터 처리 완료의 반도체 웨이퍼(W)가 반출되고, 다음에 처리해야 할 반도체 웨이퍼(W)가 반입되고, 상기 반도체 웨이퍼(W)상에 동일한 성막 처리가 실행된다.
이와 같은 성막 처리가 소정 매수의 반도체 웨이퍼(W)에 대하여 실행된 후, 스테이지(3) 및 샤워헤드(10)가 소정 온도까지 강온되며, 클리닝 가스인 ClF3 가스가 챔버(2)내에 공급되어, 클리닝 처리가 실행된다.
이상과 같은 일련의 공정에 있어서, 본 실시예에 의하면, 샤워헤드(10)에 샤워헤드 온도 제어 수단(60)을 설치함으로써, 이하와 같은 효과를 얻을 수 있다.
예비 코팅 처리나 성막 처리시에는 미반응 생성물[TiClx(x=1,2,3)]이 발생하지만, 샤워헤드에 안정된 막을 형성하기 위해서는, 이러한 TiClx를 가스로서 휘발시킬 필요가 있다. 그것을 위해서는, 425℃ 이상의 온도, 바람직하게는 500℃ 이상의 온도가 필요하다. 종래의 샤워헤드는, 스테이지내의 히터에 의해 수동적으로 가열되기 때문에, 샤워헤드가 반드시 425℃ 이상으로 될 보증은 없었다. 따라서, 샤워헤드에 대하여 안정된 예비 코팅막을 형성할 수 없는 경우가 생기고 있었다. 그러나, 본 실시예에서는, 샤워헤드(10)에 샤워헤드 온도 제어 수단(60)을 설치하고 있기 때문에, 샤워헤드(10)를 적극적으로 425℃ 이상으로 할 수 있다. 또한, NH3 가스를 포함하는 가스를 공급하여 TiClx를 환원하여 질화시킴으로써, 샤워헤드(10)에 확실히 안정된 예비 코팅막을 형성할 수 있다.
또한, 챔버(2)내를 성막 온도까지 가열할 때에, 종래와 같이 샤워헤드(10)가 스테이지(3)의 복사열만으로 승온되는 경우에는, 샤워헤드(10)가 소정의 가열 온도로 안정해질 때까지 장시간이 필요하다. 그러나, 본 실시예에서는, 스테이지(3)의 히터(6)로부터의 수동적인 가열에 부가하여, 온도 제어 수단(60)인 히터(17, 18)에 의해 샤워헤드(10)가 미리 적극적으로 가열되어 있다. 이 때문에, 단시간에 샤워헤드(10)의 전체가 가열되어, 샤워헤드(10)의 하단 플레이트의 표면 온도가 일정 온도로 안정화될 수 있다. 이에 의해, 챔버(2)내의 온도가 단시간에 소정 온도로 안정될 수 있다. 이와 같이, 샤워헤드(10)의 온도가 균일하게 제어됨으로써, 반도체 웨이퍼상에 Ti막을 균일하게 성막하는 것이 가능해진다. 특히, 반도체 웨이퍼가 300㎜로 대형화됨에 따라 장치가 대형화된 경우에, 그 효과가 현저하다.
또한, 아이들링시에는 고주파 전원은 오프로 된다. 이 때문에, 종래에는 샤워헤드(10)의 온도를 소정의 온도로 유지하기 위해서, 스테이지내의 히터의 온도가 높게 설정되어 있었다. 이에 대하여, 본 실시예에서는, 샤워헤드 온도 제어 수단(60)에 의해 샤워헤드(10)의 온도가 제어되기 때문에, 아이들링시라도, 샤워헤 드(10)의 온도를 소정의 온도로 유지하여 안정화시킬 수 있다.
또한, 클리닝 처리시에는, 샤워헤드(10)의 온도를 성막 온도로부터 200℃ 내지 300℃의 클리닝 온도까지 저하시킬 필요가 있다. 종래는, 샤워헤드의 방열성이 불량했기 때문에, 온도 저하에 장시간이 필요하였다. 그러나, 본 실시예에서는, 샤워헤드 온도 제어 수단(60)에 의해 건식 공기 공급 배관(61a, 61b)으로부터 냉매체로서의 건식 공기를 샤워헤드(10) 상부에 공급하여 냉각함으로써, 챔버(2)내를 빠르게 클리닝 온도까지 저하시킬 수 있다.
본 실시예의 장치에서는, 샤워헤드(10)의 상단 플레이트(10a)의 상면이 대기 분위기에 노출되어 있기 때문에, 샤워헤드 온도 제어 수단(60)의 대부분의 부재는 대기 분위기내에 설치할 수 있다. 따라서, 샤워헤드 온도 제어 수단(60)의 취급이 용이하다.
또한, 본 실시예에서는, 샤워헤드 온도 제어 수단(60)의 가열 기구로서 내측 히터(17)와 외측 히터(18)가 설치되고, 2 구역 제어가 실현되고 있다. 그리고, 도 4에 도시하는 바와 같이, 열전대(65a, 65b)의 검출 온도가 설정 온도로 되도록 온도 제어기(67)에 의해 내측 히터(17)의 출력이 제어되고, 외측 히터(18)에 대응하는 위치의 열전대(66a, 66b)의 검출 온도와 내측 히터(17)에 대응하는 위치의 열전대(65a, 65b)의 검출 온도의 차이가 0이 되도록, 온도 제어기(68)에 의해 외측 히터(18)의 출력이 제어되며, 샤워헤드(10)의 외측 부분과 내측 부분이 항상 동일한 온도가 되도록 제어된다. 이 때문에, 샤워헤드(10)의 외측 부분으로부터의 열의 발산을 억제할 수 있어, 온도 제어성을 높일 수 있다. 특히, 반도체 웨이퍼의 사 이즈가 300㎜로 대형화된 경우에는, 샤워헤드(10)의 외측으로부터 열이 발산되기 쉽기 때문에, 상기 2 구역 제어는 보다 유효하다.
샤워헤드(10)의 유지 보수시에는, 샤워헤드(10)는 반전 기구(80)에 의해 챔버(2)밖으로 반전된다. 이에 의해, 샤워헤드(10)는 도 6에 도시하는 바와 같이 그 가스 토출면을 위로 향한 상태에서 유지 보수가 가능하다. 따라서, 샤워헤드(10)의 유지 보수를 매우 용이하게 실행하는 것이 가능해진다. 구체적으로는, 도 6의 상태에서, 우선 복수의 지지 부재(49)가 외측으로 분리된다. 이어서, 필러(48)가 상방으로 분리된다. 그 후, 샤워헤드(10)의 하단 플레이트(10c) 및 중단 플레이트(10b)가 상방으로 분리될 수 있다. 이상과 같이, 각 부재의 분리 동작이 매우 용이하기 때문에, 샤워헤드(10)의 유지 보수를 매우 용이하게 실행하는 것이 가능해진다.
또한, 본 발명은 상기 실시예에 한정하지 않고, 본 발명의 사상의 범위내에서 각종 변경이 가능하다. 예컨대, 상기 실시예에서는 Ti막의 성막 처리가 예로서 설명되었지만, 이에 한정하지 않고 TiN막 등 다른 막의 CVD 성막 처리에 적용할 수도 있다. 또한, 플라즈마가 형성되는 경우를 예로 들어 설명했지만, 플라즈마는 반드시 필수적인 것이 아니다. 샤워헤드의 온도 제어 수단에 대해서도, 상기 구성에 한정하지 않고, 그 제어 방법도 상기 방법에 한정하는 것이 아니다. 예컨대, 냉매로서 건식 공기를 사용했지만, Ar, N2 등 다른 가스가 사용될 수도 있다. 플라즈마를 이용하지 않는 경우에는, 냉매로서 물, 냉각제 등의 액체를 사용할 수도 있 다. 또한, 반도체 웨이퍼의 처리를 예로 들어 설명했지만, 이에 한정하는 것이 아니고, 액정 표시 장치용 유리 기판 등, 다른 기판에 대한 처리에도 적용할 수 있다.
이하, 상기 실시예의 변형예에 대하여, 구체적으로 설명한다.
도 9에 도시하는 바와 같이, 상기 실시예에 있어서는, 중단 플레이트의 하방에 형성된 공간(11b)의 대략 중앙 부분에, 제 2 가스 통로(12b)와 연통하는 제 2 가스 토출부(12)가 배치되어 있고, 가스 토출부(12)의 측면에 개구(12c)가 형성되어 있다. 이에 의해, 제 2 가스 공급관(14b)과 연통하여 중단 플레이트 상측에 형성되는 제 2 가스 통로(12b)를 거쳐 공급되는 가스는 가스 토출부(12)의 개구(12c)로부터 토출되어 직접적으로 공간(11b)내로 확산하도록 되어 있다.
그러나, 이러한 실시예에서는, 제 2 가스 통로(12b)를 거쳐 공급되는 가스는 중단 플레이트(10b)의 공간(11b)내로 균등하게 확산하는 것이 충분하지 않은 경우가 있을 수 있다.
따라서, 공간(11b)의 대략 중앙 부분에 배치되는 제 2 가스 토출부(12)의 개구(12c)에 가스 확산 촉진용 파이프를 연결하는 것이 바람직하다.
도 10에 도시하는 바와 같은 중단 플레이트(10b)의 경우, 중단 플레이트(10b)의 하방의 공간(11b)내에, 제 2 가스를 균일하게 확산시키기 위해 대략 H자형의 가스 확산 촉진용 파이프(110)가 배치되어 있다. 대략 H자형의 가스 확산 촉진용 파이프(110)의 중심 부분은, 제 2 가스 토출부(12)에 결합하도록 연결되어 있다. 또한, 가스 확산 촉진용 파이프(110)의 4개의 선단 부분에는 가스 방출 구 멍(110a)이 형성되어 있다. 각각의 가스 확산 촉진용 파이프(110)는 용접되어 일체로 형성되어 있다. 또한, 가스 확산 촉진용 파이프(110)를 지지하는 지지 기둥(110b)은, 중단 플레이트(10b)와 하단 플레이트(10c)의 상면에 고정되어, 가스 확산 촉진용 파이프(110)의 흔들림을 방지한다.
이 경우, 각 선단 부분에 형성된 가스 방출 구멍(110a)은, 상단 플레이트측을 향해 개구하도록 형성되고, 제 2 가스 토출부(12)를 거쳐 공급되는 가스를 공간(11b)내에 충분히 균등하게 확산할 수 있도록 되어 있다. 도 10에 있어서의 화살표는 가스 방출 구멍(110a)으로부터 공간(11b)내로 공급되는 가스의 흐름의 개략을 나타내고 있다. 다만, 가스 확산 촉진용 파이프(110)의 형상, 방향, 위치, 및 가스 방출 구멍(110a)의 개수 및 개구의 형태는, 제 2 가스 통로(12b)를 거쳐 공급되는 가스를 공간(11b)내에 충분히 균등하게 확산할 수 있는 것이면, 특별히 한정되지 않는다. 가스 방출 구멍(110a)은, 예컨대 측방으로 개구하도록 형성될 수도 있다.
또한, 가스 방출 구멍(110a)의 배치 위치에 대해서는, 파이프의 선단부까지의 도중에 균등하게 개구될 수도 있고, 공간(11b)내에 균등하게 배치되는 것이 바람직하다.
도 11은, 도 10에 나타내는 하단 플레이트(10c) 및 가스 확산 촉진용 파이프(110)에 대하여 중단 플레이트(10b)를 장착한 형태의 단면도를 나타내고 있다. 도 11은, 기본적으로 가스 확산 촉진용 파이프(110)의 중앙관(110c)을 관통하는 단면을 나타내고 있지만, 가스 확산 촉진용 파이프(110)의 우측단부 부분은 가스 방 출 구멍(110a)을 포함하는 단면을 나타내고 있다.
또한, 도 12 및 도 13은 제어계에 관한 변형예를 나타내고 있다. 도 12는 도 4의 가열 기구에 상당하는 부분의 변형예를 나타내는 모식도이고, 도 13은 도 5의 제어 형태의 변형예를 나타내는 도면이다.
도 12 및 도 13에 나타내는 경우, 제어계와 각 열전대(65a, 65b, 66a, 66b) 사이, 및 제어계와 각 히터(17, 18) 사이에 노이즈 필터(120)가 설치되어 있다. 바람직하게는, 제어계측에 가까운 위치가 좋다. 이와 같이 노이즈 필터(120)를 설치하는 것은, 고주파 전원(47)으로부터의 노이즈를 제거하여 제어 특성을 향상시키는 것에 유효하다.
또한, 도 14에 나타내는 변형예에서는, 원형 단면의 링형 외측 히터(18) 대신에, 평판 도넛 형상의 외측 히터(118)가 설치되어 있다. 이와 같이, 히터의 형상은 특별히 한정되지 않는다.
또한, 도 14에 나타내는 변형예에서는, 내측 히터(17)와 상단 플레이트(10a) 사이에 절연판(131)이 형성되고, 마찬가지로 외측 히터(118)와 상단 플레이트(10a) 사이에도 절연판(132)이 형성되어 있지만, 각 절연판(131)의 두께는, 노이즈의 영향을 받지 않는 정도, 예컨대 0.5㎜ 내지 1.0㎜이다. 상단 플레이트(10a)는, 플라즈마 생성용 전극으로서 기능하기 때문에, 히터가 받는 노이즈의 영향을 억제하기 위해서, 절연판(131, 132)은 두꺼운 편이 바람직하다. 다만, 절연판(131, 132)은 높은 열전도율 및 내열성을 가질 필요가 있다. 따라서, 절연판(131, 132)의 재료로는 질화 알루미늄과 같은 세라믹스가 적절하다.
또한, 도 15에 나타내는 변형예에서는, 불소계의 고무 등의 탄성 부재(50) 대신에, 내식성 금속 스프링, 예컨대 인코넬(inconel) 등의 Ni 합금재에 의한 탄성 부재(150)가 설치되어 있다. 이와 같이, 필러(48)의 오목부(48a)의 측면과 지지 부재(49)의 볼록부(49a)의 측면 사이에 개재되는 탄성 부재의 형태는 특별히 한정되지 않는다.
또한, 아이들링시 및 클리닝시에 대한, 본 발명과 종래 기술의 온도 제어의 특징의 개략을, 하기 표에 나타낸다.
샤워헤드 온도 스테이지 온도 대응
종래기술 470℃ 내지 480℃ 640℃ 내지 650℃ 스테이지 온도를 성막 온도 이상으로 할 필요 있음
본 발명 500℃ 640℃ 샤워헤드를 직접적으로 온도 제어
도 1은 본 발명의 일 실시예에 따른 CVD 성막 장치를 나타내는 단면도,
도 2는 본 발명의 일 실시예에 따른 CVD 성막 장치의 샤워헤드 상부를 나타내는 평면도,
도 3은 도 1의 장치의 필러 부분을 확대하여 나타내는 단면도,
도 4는 도 1의 장치의 온도 제어 수단중, 가열 기구에 상당하는 부분을 나타내는 모식도,
도 5는 도 1의 장치의 온도 제어 수단에 의해 가열 제어하는 경우의 바람직한 제어 형태를 나타내는 도면,
도 6은 도 1의 장치의 샤워헤드를 반전 기구에 의해 반전시킨 상태를 나타내는 단면도,
도 7은 도 1의 장치의 샤워헤드의 확대도,
도 8은 도 7의 A-A선 단면도,
도 9는 도 7의 B-B선 단면도,
도 10은 가스 확산 촉진용 파이프가 설치된 경우의 하단 플레이트를 나타내는 평면도,
도 11은 도 10의 하단 플레이트 및 가스 확산 촉진용 파이프에 중단 플레이트를 장착한 상태의 단면도,
도 12는 도 4의 가열 기구에 상당하는 부분의 변형예를 나타내는 모식도,
도 13은 도 5의 제어 형태의 변형예를 나타내는 도면,
도 14는 본 발명의 다른 실시예에 따른 CVD 성막 장치를 나타내는 단면도,
도 15는 도 3의 필러 부분의 변형예를 나타내는 단면도.
<도면의 주요부분에 대한 부호의 설명>
1 : 성막 장치 2 : 챔버
4 : 지지 부재 7 : 스테이지 유지 부재
10 : 샤워헤드 10a : 상단 플레이트
10b : 중단 플레이트 10c : 하단 플레이트
13a : 제1 가스 토출 구멍 13b : 제 2 가스 토출 구멍
14a : 제 1 가스 도입관 14b : 제 2 가스 도입관
15 : 리드 부재 16 : 절연 부재
17 : 내측 히터 18 : 외측 히터
20 : 단열 부재 26 : 가스 도입 부재
47 : 고주파 전원 48 : 필러
53 : 배기 장치 60 : 온도 제어 수단

Claims (21)

  1. 플라즈마에 의한 성막 장치에 있어서,
    피처리체를 처리하는 챔버와,
    상기 챔버 내에 마련되고, 상기 피처리체를 탑재하는 탑재대와,
    상기 탑재대에 매설되는 히터와,
    상기 탑재대에 대향해서 마련되고, 다수의 가스 토출 구멍을 갖는 샤워헤드와,
    상기 챔버의 상방에 배치되고, 개구를 갖는 리드 부재와,
    상기 챔버와 리드 부재와의 사이에 배치되고, 상기 챔버를 상기 샤워헤드로부터 절연하는 절연 부재와,
    상기 샤워헤드를 거쳐서, 상기 챔버 내에 성막하기 위한 성막 가스를 공급하는 제 1 가스원과, 상기 챔버 내에 클리닝하기 위한 클리닝 가스를 공급하는 제 2 가스원을 갖는 가스 공급부와,
    상기 샤워헤드에 접속되고, 상기 가스 공급부로부터 상기 성막 가스 및 상기 클리닝 가스를 상기 샤워헤드에 공급하는 가스 도입관과,
    상기 샤워헤드의 상방에 배치되고, 상기 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단과,
    상기 챔버 내에서 상기 성막하기 위한 성막 가스의 플라즈마를 생성하는 플라즈마 생성 수단과,
    상기 챔버 내를 상기 챔버의 바닥부로부터 배기하는 배기 장치와,
    상기 샤워헤드의 주위와 상기 절연 부재의 하면과 상기 챔버의 측벽으로 둘러싸인 공간 부분을 채워서 플라즈마가 형성되는 것을 방지하는 충전 부재(48)와,
    상기 리드 부재에 고정되어 상기 충전 부재(48)를 지지하는 지지 부재(49)를 포함하는 것을 특징으로 하는
    성막 장치.
  2. Ti 막을 플라즈마에 의해 형성하는 성막 장치에 있어서,
    피처리체에 상기 Ti 막을 형성하기 위해 처리하는 챔버와,
    상기 챔버 내에 마련되고, 상기 피처리체를 탑재하는 탑재대와,
    상기 탑재대에 매설되는 히터와,
    상기 탑재대에 대향해서 마련되고, 복수의 가스 토출 구멍을 갖는 샤워헤드와,
    상기 챔버의 상방에 배치되고, 개구를 갖는 리드 부재와,
    상기 챔버와 리드 부재와의 사이에 배치되고, 상기 챔버를 상기 샤워헤드로부터 절연하는 절연 부재와,
    상기 샤워헤드를 거쳐서, 상기 챔버 내에 Ti 막을 형성하기 위한 TiCl4 가스, H2 가스 및 불활성 가스를 공급하는 TiCl4 가스원, H2 가스원 및 불활성 가스원과 상기 챔버 내를 클리닝하기 위해 ClF3 가스를 공급하는 ClF3 가스원을 갖는 가스 공급부와,
    상기 샤워헤드에 연통하고, 상기 가스 공급부로부터 상기 TiCl4 가스, H2 가스, 불활성 가스 및 ClF3 가스를 상기 샤워헤드에 공급하는 가스 통로를 갖는 가스 도입 부재와,
    상기 샤워헤드의 상방에 배치되고, 상기 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단과,
    상기 챔버 내에서 상기 TiCl4 가스, H2 가스 및 불활성 가스의 플라즈마를 생성하는 플라즈마 생성 수단과,
    상기 챔버 내를 상기 챔버의 바닥부로부터 배기하는 배기 장치와,
    상기 샤워헤드의 주위와 상기 절연 부재의 하면과 상기 챔버의 측벽으로 둘러싸인 공간 부분을 채워서 플라즈마가 형성되는 것을 방지하는 충전 부재(48)와,
    상기 리드 부재에 고정되어 상기 충전 부재(48)를 지지하는 지지 부재(49)를 포함하는 것을 특징으로 하는
    성막 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드 온도 제어 수단은
    상기 샤워헤드를 가열하는 가열 기구와,
    상기 샤워헤드를 냉각하는 냉각 기구와,
    상기 샤워헤드의 온도를 검출하는 온도 검출 기구와,
    상기 온도 검출 기구의 검출결과에 기초하여 적어도 상기 가열 기구를 제어하는 콘트롤러를 구비하는 것을 특징으로 하는
    성막 장치.
  4. 제 3 항에 있어서,
    상기 가열 기구는 상기 샤워헤드의 내측 부분을 가열하는 내측 히터와, 상기 샤워헤드의 외측 부분을 가열하는 외측 히터를 갖고,
    상기 온도 검출 기구는 상기 내측 부분의 온도를 검출하는 내측 온도 검출부와, 상기 외측 부분의 온도를 검출하는 외측 온도 검출부를 갖는 것을 특징으로 하는
    성막 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드의 상방에 대기 공간이 형성되고, 상기 대기 공간의 상방에 단열 부재가 배치되어 있는 것을 특징으로 하는
    성막 장치.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드의 하면을 위로 향한 상태로 상기 챔버의 외측으로 선회하여 반전시키는 가스스프링 방식의 반전 기구를 포함하는 것을 특징으로 하는
    성막 장치.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드는
    상단 플레이트,
    중단 플레이트,
    하단 플레이트를 갖고 있는 것을 특징으로 하는
    성막 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 충전 부재(48)는 석영인 것을 특징으로 하는
    성막 장치.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 샤워헤드의 온도가 425℃ 이상으로 제어되는 것을 특징으로 하는
    성막 장치.
  10. 성막 장치에 있어서,
    피처리체를 처리하는 챔버와,
    상기 챔버 내에 배치되고, 상기 피처리체를 탑재하는 스테이지와,
    상기 스테이지 내에 매설되는 히터와,
    상기 스테이지를 지지하는 지지 부재(4)와,
    상기 스테이지에 대향해서 마련되고, 복수의 가스 토출 구멍을 갖는 샤워헤드와,
    상기 챔버 내에, 상기 샤워헤드를 거쳐서 성막하기 위한 성막 가스 및 상기 챔버 내를 클리닝하기 위한 클리닝 가스를 공급하는 가스 공급부와,
    상기 챔버 벽 내에 형성되고, 상기 가스 공급부와 접속하는 가스 통로(2a, 2b)와,
    상기 샤워헤드에 접속되고, 상기 가스 공급부로부터 상기 가스 통로(2a, 2b)를 거쳐 상기 성막 가스 및 상기 클리닝 가스를 상기 샤워헤드에 공급하는 가스 도입관과,
    상기 챔버의 바닥부 중앙으로부터 하방으로 돌출하여 부착되며, 상기 스테이지를 지지하는 지지 부재(4)가 고정되어 있는 스테이지 유지 부재와,
    상기 스테이지 유지 부재에 접속하는 배기관과,
    상기 배기관에 접속하여, 상기 챔버 내를 상기 챔버의 바닥부로부터 배기하는 배기 장치를 포함하는 것을 특징으로 하는
    성막 장치.
  11. 플라즈마 CVD 성막 장치에 있어서,
    피처리체를 처리하는 챔버와,
    상기 챔버 내에 배치되고, 상기 피처리체를 탑재하는 스테이지와,
    상기 스테이지를 지지하는 지지 부재(4)와,
    상기 스테이지 내에 매설되는 히터와,
    상기 스테이지에 대향해서 마련되고, 복수의 가스 토출 구멍을 구비하는 샤워헤드와,
    상기 챔버의 상부에 배치되고, 개구를 갖는 리드 부재와,
    상기 샤워헤드의 상방에 배치되어, 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단과,
    상기 챔버 내에, 상기 샤워헤드를 거쳐서 성막하기 위한 성막 가스와, 상기 챔버 내를 클리닝하기 위한 클리닝 가스를 공급하는 가스 공급부와,
    상기 챔버 벽 내에 형성되고, 상기 가스 공급부와 접속하는 가스 통로(2a, 2b)와,
    상기 샤워헤드에 접속되고, 상기 가스 통로(2a, 2b)에 연통하며, 상기 성막 가스 및 상기 클리닝 가스를 상기 샤워헤드에 공급하는 가스 도입관과,
    상기 챔버 내에, 상기 성막 가스의 플라즈마를 생성하는 플라즈마 생성 수단과,
    상기 샤워헤드의 주위와 상기 챔버의 측벽으로 둘러싸인 공간 부분을 채워서 플라즈마가 형성되는 것을 방지하는 충전 부재(48)와,
    상기 리드 부재에 고정되며, 상기 충전 부재(48)를 지지하는 지지 부재(49) 와,
    상기 챔버의 바닥부 중앙으로부터 하방으로 돌출하여 부착되며, 상기 스테이지를 지지하는 지지 부재(4)가 고정되어 있는 스테이지 유지 부재와,
    상기 스테이지 유지 부재에 접속하는 배기관과,
    상기 배기관에 접속하여, 상기 챔버 내를 상기 챔버의 바닥부로부터 배기하는 배기 장치를 포함하며,
    상기 리드 부재의 상부에 성막 장치의 상부를 덮는 실드 박스가 마련되며, 상기 실드 박스는 그 내부를 열 배기하기 위한 배기 포트를 갖는 것을 특징으로 하는
    성막 장치.
  12. 플라즈마 CVD 성막 장치에 있어서,
    피처리체를 처리하는 챔버와,
    상기 챔버 내에 배치되고, 상기 피처리체를 탑재하는 스테이지와,
    상기 스테이지를 지지하는 지지 부재(4)와,
    상기 스테이지 내에 매설되는 히터와,
    상기 스테이지에 대향해서 마련되고, 복수의 가스 토출 구멍을 구비하는 샤워헤드와,
    상기 챔버의 상부에 배치되고, 개구를 갖는 리드 부재와,
    상기 샤워헤드의 상방에 배치되어, 샤워헤드의 온도를 제어하는 샤워헤드 온 도 제어 수단과,
    상기 챔버 내에 성막하기 위한 성막 가스와, 상기 챔버 내를 클리닝하기 위한 클리닝 가스를 공급하는 가스 공급부와,
    상기 샤워헤드에 접속되고, 상기 성막 가스 및 상기 클리닝 가스를 상기 샤워헤드 내에 공급하는 가스 도입관과,
    상기 챔버 내에, 상기 성막 가스의 플라즈마를 생성하는 플라즈마 생성 수단과,
    상기 챔버의 바닥부 중앙으로부터 하방으로 돌출하여 부착되며, 상기 스테이지를 지지하는 지지 부재(4)가 고정되어 있는 스테이지 유지 부재와,
    상기 스테이지 유지 부재에 접속하는 배기관과,
    상기 배기관에 접속하여, 상기 챔버 내를 상기 챔버의 바닥부로부터 배기하는 배기 장치와,
    상기 챔버 벽 내에 형성되고, 상기 가스 공급부와 접속하는 가스 통로(2a, 2b)와,
    상기 리드 부재 내에 형성되고, 상기 가스 통로(2a, 2b)와 접속하는 가스 통로(15a, 15b)와,
    가스 도입 부재 내에 형성되고, 상기 가스 통로(15a, 15b)에 접속하는 가스 통로(26a, 26b)와,
    절연 부재 내에 형성되고, 상기 가스 통로(26a, 26b) 및 상기 가스 도입관에 접속하는 가스 통로(24a, 24b)를 포함하며,
    상기 가스 통로(2a, 15a, 26a, 24a; 2b, 15b, 26b, 24b)는 서로 연통하고, 상기 챔버 벽 내의 가스 통로(2a, 2b)는 가스 배관을 거쳐서 상기 가스 공급부에 접속되어 있는 것을 특징으로 하는
    성막 장치.
  13. 제 10 항에 있어서,
    상기 샤워헤드의 상방에 배치되고, 상기 샤워헤드의 온도를 제어하는 샤워헤드 온도 제어 수단을 구비하는 것을 특징으로 하는
    성막 장치.
  14. 제 13 항에 있어서,
    상기 샤워헤드 온도 제어 수단은, 상기 샤워헤드의 상부에 배치되는 히터와, 상기 히터의 상방에 형성되는 대기 공간과, 상기 대기 공간의 상방에 배치되는 단열 부재를 구비하는 것을 특징으로 하는
    성막 장치.
  15. 제 13 항에 있어서,
    상기 샤워헤드 온도 제어 수단은 상기 샤워헤드의 상부에 배치되고, 상기 피처리체에 대응하도록 배치되는 내측 히터와, 상기 내측 히터를 둘러싸도록 배치되는 외측 히터를 구비하는 것을 특징으로 하는
    성막 장치.
  16. 제 10 항 내지 제 12 항 중 어느 하나에 있어서,
    상기 샤워헤드는
    상단 플레이트,
    중단 플레이트,
    하단 플레이트를 구비하고,
    상기 상단 플레이트와 상기 중단 플레이트와의 사이에 제 1 공간이 형성되고, 상기 중단 플레이트와 상기 하단 플레이트와의 사이에 제 2 공간이 형성되는 것을 특징으로 하는
    성막 장치.
  17. 제 10 항 내지 제 12 항 중 어느 하나에 있어서,
    상기 샤워헤드를 그 하면을 위로 향한 상태로 상기 챔버의 외측으로 선회하여 반전시키는 가스스프링 방식의 반전 기구를 포함하는 것을 특징으로 하는
    성막 장치.
  18. 제 10 항 또는 제 12 항에 있어서,
    상기 샤워헤드의 주위와 상기 챔버의 측벽으로 둘러싸인 공간 부분을 채워서 플라즈마가 형성되는 것을 방지하는 충전 부재(48)와,
    상기 충전 부재(48)를 지지하는 지지 부재(49)를 포함하는 것을 특징으로 하는
    성막 장치.
  19. 제 11 항 또는 제 12 항에 있어서,
    상기 샤워헤드는 샤워헤드 본체부분과, 상기 샤워헤드 본체부분의 외주 상방으로 연속하는 환상의 지지부를 갖고, 상기 샤워헤드를 상기 챔버로부터 절연하는 환상의 절연 부재를 거쳐서 상기 지지부가 상기 리드 부재에 지지되어 있는 것을 특징으로 하는
    성막 장치.
  20. 제 12 항에 있어서,
    상기 리드 부재의 상부에 성막 장치의 상부를 덮는 실드 박스가 마련되며, 상기 실드 박스는 그 내부를 열 배기하기 위한 배기 포트를 갖는 것을 특징으로 하는
    성막 장치.
  21. 제 10 항 내지 제 12 항 중 어느 하나에 있어서,
    상기 스테이지 유지 부재는 상기 스테이지보다 작은 직경의 원통 형상인 것을 특징으로 하는
    성막 장치.
KR1020097002784A 2001-02-09 2002-02-08 성막 장치 KR100945320B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001034520 2001-02-09
JPJP-P-2001-034520 2001-02-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067006792A Division KR100922241B1 (ko) 2001-02-09 2002-02-08 성막 장치 및 샤워헤드 구조체

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014512A Division KR101004192B1 (ko) 2001-02-09 2002-02-08 성막 장치

Publications (2)

Publication Number Publication Date
KR20090024307A true KR20090024307A (ko) 2009-03-06
KR100945320B1 KR100945320B1 (ko) 2010-03-08

Family

ID=18898113

Family Applications (12)

Application Number Title Priority Date Filing Date
KR1020067006790A KR100735932B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020097014511A KR101004173B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020107011259A KR101004199B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067006792A KR100922241B1 (ko) 2001-02-09 2002-02-08 성막 장치 및 샤워헤드 구조체
KR1020097002783A KR100945321B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067024611A KR100776843B1 (ko) 2001-02-09 2002-02-08 성막 장치 및 Ti막 성막 장치
KR1020107011258A KR101004222B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067018174A KR100749377B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020097002784A KR100945320B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020097014512A KR101004192B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020057018178A KR100676979B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020037010504A KR100756107B1 (ko) 2001-02-09 2002-02-08 성막 장치

Family Applications Before (8)

Application Number Title Priority Date Filing Date
KR1020067006790A KR100735932B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020097014511A KR101004173B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020107011259A KR101004199B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067006792A KR100922241B1 (ko) 2001-02-09 2002-02-08 성막 장치 및 샤워헤드 구조체
KR1020097002783A KR100945321B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067024611A KR100776843B1 (ko) 2001-02-09 2002-02-08 성막 장치 및 Ti막 성막 장치
KR1020107011258A KR101004222B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020067018174A KR100749377B1 (ko) 2001-02-09 2002-02-08 성막 장치

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020097014512A KR101004192B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020057018178A KR100676979B1 (ko) 2001-02-09 2002-02-08 성막 장치
KR1020037010504A KR100756107B1 (ko) 2001-02-09 2002-02-08 성막 장치

Country Status (5)

Country Link
US (4) US7661386B2 (ko)
EP (1) EP1371751B1 (ko)
JP (1) JP4889683B2 (ko)
KR (12) KR100735932B1 (ko)
WO (1) WO2002063065A1 (ko)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20040237889A1 (en) * 2003-05-28 2004-12-02 Winbond Electronics Corporation Chemical gas deposition process and dry etching process and apparatus of same
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
ES2373915T3 (es) * 2005-03-07 2012-02-10 Sharp Kabushiki Kaisha Aparato para tratamiento con plasma y método de manufactura de película de gas semiconductora utilizando el mismo.
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US20060237556A1 (en) * 2005-04-26 2006-10-26 Spraying Systems Co. System and method for monitoring performance of a spraying device
US20070210182A1 (en) * 2005-04-26 2007-09-13 Spraying Systems Co. System and Method for Monitoring Performance of a Spraying Device
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2007146252A (ja) * 2005-11-29 2007-06-14 Tokyo Electron Ltd 熱処理方法、熱処理装置及び記憶媒体
CN101310040B (zh) * 2006-02-24 2011-08-17 东京毅力科创株式会社 Ti系膜的成膜方法
KR100755753B1 (ko) * 2006-03-30 2007-09-05 주식회사 아이피에스 샤워헤드 히팅유니트 및 그를 채용한 박막증착장치
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
KR101206725B1 (ko) 2006-07-26 2012-11-30 주성엔지니어링(주) 서로 다른 전위면 사이의 갭에 완충 절연재가 삽입된기판처리장치
KR101339201B1 (ko) * 2006-08-14 2013-12-09 주식회사 원익아이피에스 온도측정유닛 및 이를 이용하는 온도측정장치
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
KR100791010B1 (ko) * 2007-01-12 2008-01-03 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 기판의 처리 방법
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US20080237184A1 (en) * 2007-03-30 2008-10-02 Mamoru Yakushiji Method and apparatus for plasma processing
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP5141155B2 (ja) * 2007-09-21 2013-02-13 東京エレクトロン株式会社 成膜装置
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
TWI484576B (zh) 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
JP5039576B2 (ja) * 2008-01-11 2012-10-03 シャープ株式会社 プラズマ処理装置
JP4558810B2 (ja) * 2008-02-29 2010-10-06 富士フイルム株式会社 成膜装置
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR20110079831A (ko) * 2008-10-03 2011-07-08 비코 프로세스 이큅먼트, 아이엔씨. 기상 에피택시 시스템
KR101680751B1 (ko) * 2009-02-11 2016-12-12 어플라이드 머티어리얼스, 인코포레이티드 비-접촉 기판 프로세싱
KR101321807B1 (ko) * 2009-04-03 2013-10-28 도쿄엘렉트론가부시키가이샤 증착 헤드 및 성막 장치
KR101036454B1 (ko) 2009-06-08 2011-05-24 주식회사 테스 대면적 가스분사장치
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN103201828B (zh) * 2010-11-05 2016-06-29 夏普株式会社 氧化退火处理装置和使用氧化退火处理的薄膜晶体管的制造方法
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5762798B2 (ja) * 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
KR102263827B1 (ko) * 2014-03-21 2021-06-14 삼성디스플레이 주식회사 산화물 반도체 증착장치 및 이를 이용한 산화물 반도체의 제조 방법
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
KR101613864B1 (ko) 2014-10-13 2016-04-20 주식회사 테스 유기금속화학기상증착장치
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10487401B2 (en) * 2015-10-02 2019-11-26 Applied Materials, Inc. Diffuser temperature control
KR101941488B1 (ko) * 2016-07-04 2019-01-23 세메스 주식회사 샤워 헤드 유닛 및 이를 가지는 기판 처리 장치
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10867812B2 (en) * 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US11441225B2 (en) * 2017-12-01 2022-09-13 Tokyo Electron Limited Substrate liquid processing apparatus
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN111785604A (zh) * 2019-04-04 2020-10-16 中微半导体设备(上海)股份有限公司 气体喷淋头、制作方法及包括气体喷淋头的等离子体装置
CN114207767B (zh) * 2019-06-07 2024-01-30 朗姆研究公司 多站半导体处理中的可独立调整流路传导性
KR102268559B1 (ko) * 2019-07-03 2021-06-22 세메스 주식회사 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN114503246A (zh) * 2019-09-13 2022-05-13 应用材料公司 半导体处理腔室
CN112543520B (zh) * 2019-09-20 2023-05-30 中微半导体设备(上海)股份有限公司 一种加热器、加热方法及等离子处理器
KR102235672B1 (ko) * 2019-12-19 2021-04-01 광운대학교 산학협력단 대면적 대기압 플라즈마 발생 장치 및 이를 작동하는 방법
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
CN114334700A (zh) * 2020-09-29 2022-04-12 长鑫存储技术有限公司 半导体设备电极板的安装治具
JP7317083B2 (ja) * 2021-09-01 2023-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
JP3283272B2 (ja) * 1991-04-30 2002-05-20 株式会社ニチレイ エンハンサ−核酸塩基配列
US5447568A (en) * 1991-12-26 1995-09-05 Canon Kabushiki Kaisha Chemical vapor deposition method and apparatus making use of liquid starting material
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JP2840026B2 (ja) 1994-05-02 1998-12-24 日本エー・エス・エム株式会社 空冷式の処理装置および該装置を利用して連続して被処理体を処理する方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE69629412T2 (de) * 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH0987090A (ja) * 1995-09-26 1997-03-31 Toshiba Corp 気相成長方法および気相成長装置
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
JP3649267B2 (ja) * 1996-10-11 2005-05-18 株式会社荏原製作所 反応ガス噴射ヘッド
JPH10280149A (ja) * 1997-04-04 1998-10-20 Ebara Corp ガス噴射装置
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
KR19980071012A (ko) 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
JPH1167693A (ja) 1997-06-11 1999-03-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
EP1032723A1 (en) 1997-11-17 2000-09-06 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JPH11302850A (ja) 1998-04-17 1999-11-02 Ebara Corp ガス噴射装置
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3461120B2 (ja) 1998-06-29 2003-10-27 日立化成工業株式会社 プラズマエッチング用電極板及びプラズマエッチング装置
JP2000073376A (ja) * 1998-08-31 2000-03-07 Misawa Homes Co Ltd シース管
KR100280519B1 (ko) 1998-11-17 2001-03-02 김영환 반도체 유기금속 화학기상증착장비의 가스 분사장치
US20020179245A1 (en) * 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
JP3363405B2 (ja) 1999-03-17 2003-01-08 株式会社日立製作所 プラズマ処理装置およびプラズマ処理装置システム
JP3205312B2 (ja) 1999-03-17 2001-09-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000315658A (ja) 1999-04-30 2000-11-14 Tokyo Electron Ltd 熱処理装置
JP4467667B2 (ja) 1999-05-21 2010-05-26 東京エレクトロン株式会社 プラズマ処理装置
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP4307628B2 (ja) * 1999-05-19 2009-08-05 キヤノンアネルバ株式会社 Ccp反応容器の平板型ガス導入装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001021039A (ja) 1999-07-07 2001-01-26 Hitachi Kokusai Electric Inc 半導体製造装置
WO2001007677A1 (fr) * 1999-07-26 2001-02-01 Tokyo Electron Limited Procede et appareil de fabrication d'un dispositif a semi-conducteurs
JP4220075B2 (ja) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6602800B2 (en) * 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6901808B1 (en) * 2002-02-12 2005-06-07 Lam Research Corporation Capacitive manometer having reduced process drift
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6838329B2 (en) * 2003-03-31 2005-01-04 Intel Corporation High concentration indium fluorine retrograde wells
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Also Published As

Publication number Publication date
KR20090082520A (ko) 2009-07-30
EP1371751B1 (en) 2011-08-17
EP1371751A1 (en) 2003-12-17
KR100945321B1 (ko) 2010-03-08
US20050000423A1 (en) 2005-01-06
KR100735932B1 (ko) 2007-07-06
KR20060103287A (ko) 2006-09-28
KR20090024306A (ko) 2009-03-06
KR100756107B1 (ko) 2007-09-05
KR101004222B1 (ko) 2010-12-24
KR20100067693A (ko) 2010-06-21
US20070175396A1 (en) 2007-08-02
US8128751B2 (en) 2012-03-06
KR20060038481A (ko) 2006-05-03
KR101004192B1 (ko) 2010-12-24
KR100749377B1 (ko) 2007-08-14
KR20090083490A (ko) 2009-08-03
KR100922241B1 (ko) 2009-10-15
US7661386B2 (en) 2010-02-16
KR101004173B1 (ko) 2010-12-24
KR20100065403A (ko) 2010-06-16
US20100107977A1 (en) 2010-05-06
JP4889683B2 (ja) 2012-03-07
KR20050101567A (ko) 2005-10-24
EP1371751A4 (en) 2005-09-07
KR20030076667A (ko) 2003-09-26
KR100945320B1 (ko) 2010-03-08
KR101004199B1 (ko) 2010-12-24
JP2008214763A (ja) 2008-09-18
US20090178614A1 (en) 2009-07-16
KR100776843B1 (ko) 2007-11-16
WO2002063065A1 (fr) 2002-08-15
KR20070004126A (ko) 2007-01-05
KR100676979B1 (ko) 2007-02-01
KR20060037470A (ko) 2006-05-03

Similar Documents

Publication Publication Date Title
KR100945320B1 (ko) 성막 장치
KR100628888B1 (ko) 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
JP5044931B2 (ja) ガス供給装置及び基板処理装置
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
JP2004285479A (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
JPH10144614A (ja) Cvdプラズマリアクタにおける面板サーマルチョーク
JP4260404B2 (ja) 成膜装置
JP2004052098A (ja) 基板処理装置およびそれに用いるサセプタ
JP4782761B2 (ja) 成膜装置
JP2002110571A (ja) 成膜装置および成膜方法
JP2003151970A (ja) 基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee