KR20080045729A - 피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법 - Google Patents

피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법 Download PDF

Info

Publication number
KR20080045729A
KR20080045729A KR1020087007859A KR20087007859A KR20080045729A KR 20080045729 A KR20080045729 A KR 20080045729A KR 1020087007859 A KR1020087007859 A KR 1020087007859A KR 20087007859 A KR20087007859 A KR 20087007859A KR 20080045729 A KR20080045729 A KR 20080045729A
Authority
KR
South Korea
Prior art keywords
layer
mandrel
spacers
spacer
pattern
Prior art date
Application number
KR1020087007859A
Other languages
English (en)
Other versions
KR100967740B1 (ko
Inventor
거테 에스. 샌두
커크 디. 프랄
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20080045729A publication Critical patent/KR20080045729A/ko
Application granted granted Critical
Publication of KR100967740B1 publication Critical patent/KR100967740B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

피치 멀티플리케이션 공정에서의 스페이서(175)는 스페이서 에칭을 실시하지 않고 형성된다. 오히려, 맨드릴(145)은 기판(110) 상에 형성되고, 맨드릴(145)의 측면은 산화, 질화 또는 규화 단계에서 반응하여, 맨드릴(145)의 반응하지 않은 부분에 대하여 선택적으로 제거될 수 있는 재료를 형성한다. 반응하지 않은 부분은 선택적으로 제거되어 프리 스탠딩 스페이서(175)의 패턴을 남긴다. 프리 스탠딩 스페이서(175)는 기판(110)을 에칭하는 것과 같은 이어지는 처리 단계에서 마스크로 작용한다.
피치 멀티플리케이션, 스페이서, 에칭, 맨드릴, 기판, 마스크

Description

피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크 패턴들 및 그의 형성 방법{MASK PATTERNS WITH SPACERS FOR PITCH MULTIPLICATION AND METHODS OF FORMING THE SAME}
관련 출원의 상호 참조
본 출원은 아바체프(Abatchev) 등이 2004년 9월 2일자로 출원한 미국 특허출원 제10/934,778호 "피치 멀티플리케이션을 이용한 집적 회로의 제조 방법" 및 트란(Tran) 등이 2005년 3월 15일자로 출원한 미국 가특허출원 제60/662,323호 "포토리소그래피 피처들에 대하여 피치가 감소된 패턴"과 관련된다.
<기술 분야>
본 발명은 일반적으로 집적 회로의 제조, 더욱 상세하게는, 마스킹 기술에 관한 것이다.
더욱 향상된 휴대성, 연산 능력, 기억 용량 및 에너지 효율성에 대한 요구를 포함하는 많은 요인으로 인하여, 집적 회로는 더욱 조밀하게 제조되고 있다. 전기 소자 및 연결 도선과 같은 집적 회로를 형성하는 구성 피처(feature)의 크기는 이와 같은 스케일링(scaling)이 용이하도록 꾸준히 감소하고 있다.
예를 들어 동적 램 (DRAM), 플래시 메모리, 정적 램(SRAM), 강유전체(FE) 메 모리 등과 같은 메모리 회로 및 소자에 있어서 피처의 크기는 확실히 감소하는 추세이다. 일반적으로, 이와 같은 메모리 소자는 메모리 셀로 알려진 수백만 개의 동일한 회로 소자를 포함한다. 종래의 동적 램에서와 같이 커패시터 기반의 메모리 셀은 일반적으로 스토리지 커패시터(storage capacitor) 및 액세스 전계 효과 트랜지스터(access field effect transistor)의 두 개의 전기 소자로 구성된다. 각각의 메모리 셀은 일 비트(2진)의 자료를 저장할 수 있는 주소 지정 가능 장소이다. 트랜지스터를 이용하여 셀에 한 비트를 기록하고, 커패시터의 충전 상태를 감지하여 그 비트를 읽을 수 있다. 일부 메모리 기술에서는 기억 장치 및 스위치로 모두로 동작하는 소자를 채용하며 (예를 들어, 은 도핑 칼코겐 유리(silver-doped chalcogenide glass)를 채용한 수지상 메모리(dendritic memory)), 일부 비휘발성 메모리는 각각의 셀에 스위치를 필요로 하지 않는다 (예를 들어, 자기저항 램 (magnetoresistive RAM)). 일반적으로, 메모리 셀을 구성하는 전기 소자의 크기 및 메모리 셀을 액세스하는 도선의 크기를 줄임으로 메모리 소자가 소형화될 수 있다. 더욱이, 메모리 소자의 주어진 영역에 더 많은 메모리 셀을 조립하여 저장 능력을 증가시킬 수 있다.
피처의 크기를 지속적으로 줄임에 따라, 피처를 형성하는데 이용되는 기술에 대하여 더 많은 요구가 발생한다. 예를 들어, 포토리소그래피는 도선과 같은 피처의 패턴을 형성하는데 공통적으로 이용된다. 피치의 개념은 어레이에서와 같이 반복되는 피처가 패턴에 포함될 때 이러한 피처의 크기를 나타내는데 사용될 수 있다. 피치는 두 개의 인접한 피처 내에 동일한 지점 사이의 거리로 정의된다. 이 러한 피처는 일반적으로 인접한 피처 사이의 공간에 의해 한정되며, 이 공간은 절연체와 같은 재료로 충전된다. 그 결과, 피치는 피처의 폭과 피처의 일 측에서 피처를 다른 이웃하는 피처로부터 분리하는 공간의 폭의 합으로 볼 수 있다. 그러나, 광학장치 및 광 또는 복사선의 파장과 같은 요인으로 인하여 포토리소그래피 기술에 적용할 수 있는 최소의 피치가 있으며, 특정 포토리소그래피 기술에서는 최소 피치 이하에서 신뢰성 있게 피처를 형성할 수 없다. 따라서, 포토리소그래피 기술에서의 최소 피치는 지속적으로 피처의 크기를 줄이는데 장애가 된다.
"피치 더블링(pitch doubling)" 또는 "피치 멀티플리케이션"은 포토리소그래피 기술의 능력을 최소 피치 이상으로 연장하는 한 가지 방법이다. 피치 멀티플리케이션 방법은 도 1A 내지 도 1F에 도시되어 있으며, 모든 내용이 여기에 참조로 포함된 로리(Lowrey)등에 허여된 미국 특허 제5,328,810호 설명되어 있다. 도 1A를 참조하면, 라인(10)으로 구성된 패턴이 포토리소그래피에 의해 포토레지스트층 내에 형성되고, 패턴은 소모성 재료 층(20) 위에 놓여 있고, 소모성 재료 층은 다시 기판 위에 놓여 있다. 도 1B에 도시된 바와 같이, 패턴은 에칭(바람직하게는 이방성 에칭)을 이용하여 소모성 재료 층(20)으로 전사되어 플레이스홀더(placeholder) 또는 맨드릴(mandrel)(40)을 형성한다. 포토레지스트 라인(10)이 스트리핑(stripping)될 수 있고 맨드릴(40)이 등방성으로 에칭될 수 있어 도 1C에 도시된 바와 같이 인접한 맨드릴(40) 사이의 거리가 증가한다. 이어서, 도 1D에 도시된 바와 같이 스페이서 재료 층(50)이 맨드릴(40)의 상부에 퇴적된다. 그 다음, 스페이서(60), 즉 다른 재료의 측벽으로부터 연장된 또는 그로부터 연장되어 원래 형성된 재료가 맨드릴(40)의 측면에 형성된다. 스페이서는 스페이서 에칭, 즉 도 1E에 도시된 바와 같이 수평면(70, 80)으로부터 스페이서 재료를 우선적으로 방향성을 가지고 에칭함으로 형성된다. 그 후, 잔여 맨드릴(40)이 제거되어 스페이서(60)만 남게 되어, 도 1F에 도시된 바와 같이 이는 함께 패턴화를 위한 마스크 역할을 한다. 따라서, 주어진 피치가 하나의 피처와 하나의 공간을 한정하는 패턴을 이미 포함한 경우, 동일한 폭에 두 개의 피처와 두 개의 공간이 이제 포함되며, 이 공간은 스페이서(60)에 의해 한정된다. 그 결과, 포토리소그래피 기술에 의해 가능한 최소 피처의 크기가 효과적으로 감소한다.
위의 예에서 피치는 실제로 이등분되며, 이러한 피치의 감소를 종래에는 피치 "더블링" 또는 더 일반적으로 피치 "멀티플리케이션"이라 한다. 따라서, 종래에는, 소정의 요소에 의한 피치의 "멀티플리케이션"은 그 요소에 의한 피치의 감소를 실제로 포함한다. 이러한 종래의 용어를 여기에서 계속 유지한다.
에칭 공정으로 표면의 다른 부분을 다른 속도로 제거할 수 있다. 예를 들어, 에칭 속도의 국부적인 차이를 일으킬 수 있는 온도의 국부적인 차이에 의해, 맨드릴(40)에 대하여 트림(trim) 에칭을 실시하여 기판 전체에 걸쳐 다른 속도로 맨드릴(40)의 측벽들을 에칭할 수 있다. 이와 같은 비균일성은 측벽에 형성되는 스페이서(60)로 전사되며, 최종적으로 스페이서(60)를 이용하여 기판(30)에 패턴화 된 피처의 비균일성으로 이어진다.
더욱이, 맨드릴(40)을 형성함에 사용되는 재료는 다양한 공정 단계에서 통상 호환되어야 하는 데, 예를 들어, 이러한 재료는 적합한 선택적 등방성 에칭이 (트 림 에칭을 실시하기에) 이용 가능한 재료이고 적합한 선택적 이방성 에칭이 다양한 패턴 형성과 패턴 전사 단계(예를 들어, 상부 레지스트로부터 패턴을 전사하기 위한 단계)에 이용 가능한 재료이다. 또한, 맨드릴(40)용 재료는 추후 퇴적되는 재료, 예를 들어 스페이서 재료의 선택을 제한할 수 있는 데, 이는 추후 퇴적되는 재료에 대한 퇴적 조건이 통상 맨드릴(40)에 나쁜 영향을 미치지 않아야 하기 때문이다. 호환 가능한 에칭 및 퇴적 재료에 대한 다른 요구에 더하여 등방성 에칭에 대한 요구가 피치 멀티플리케이션에 사용되는 재료의 선택을 제한할 수 있어서, 공정의 허용도를 제한한다.
따라서, 피치 멀티플리케이션의 능력을 넓히는 방법이 필요하다.
본 발명의 일 태양에 따르면, 반도체 처리를 위한 방법이 제공된다. 이 방법은 기판 상에 임시 피처를 제공하는 단계를 포함한다. 임시 피처는 제1 재료를 포함한다. 제1 재료는 화학종(chemical species)과 반응하여 제1 재료와 화학종 사이의 반응에 의한 생성물을 포함하는 마스크 피처를 형성한다. 이어서, 반응하지 않은 제1 재료는 선택적으로 제거된다.
본 발명의 다른 태양에 따르면, 반도체 처리를 위한 방법이 제공된다. 이 방법은 기판 상의 일 영역을 가로질러 임시 재료로 형성된 복수의 임시 플레이스홀더를 형성하는 단계를 포함한다. 임시 플레이스홀더는 공간에 의해 분리된다. 임시 재료의 일부는 다른 재료로 변경되어 복수의 스페이서를 형성한다. 다른 재료는 복수의 마스크 피처를 형성한다. 변경되지 않은 임시 재료는 선택적으로 제거된다. 기판은 복수의 스페이서에 의해 한정된 마스크 패턴을 통해 처리된다.
본 발명의 다른 일 태양에 따르면, 집적 회로 내에 반복되는 피처의 어레이를 형성하는 방법이 제공된다. 이 방법은 패턴을 형성하기 위하여 기판 상의 레지스트 층에 복수의 레지스트 피처를 리소그래피 방법으로 한정하는 단계를 포함한다. 각각의 레지스트 피처는 리소그래피에 의해 한정되는 폭을 가진다. 패턴은 레지스트 층 하부의 맨드릴 층으로 전사되어 기판 이상의 레벨에 복수의 맨드릴을 형성한다. 각각의 맨드릴은 레지스트 피처와 거의 동일한 폭을 가진다. 패턴이 전사된 이후, 맨드릴을 에칭하지 않고 복수의 스페이서를 맨드릴과 같은 레벨에 형성한다. 스페이서 사이의 거리는 맨드릴의 폭보다 좁다. 스페이서에 의해 한정되는 패턴은 기판에 전사되어 반복되는 피처의 어레이를 형성한다.
본 발명의 또 다른 일 태양에 따르면, 집적 회로를 제조하는 방법이 제공된다. 이 방법은 집적 회로의 영역에 맨드릴을 제공하는 단계를 포함한다. 맨드릴 상에 재료 층이 퇴적된다. 이 재료 층은 등방성으로 에칭되어 맨드릴의 측면에 노출된 스페이서를 남긴다.
본 발명의 다른 일 태양에 따르면, 부분적으로 제조된 집적 회로 상에 놓인 중간 마스크 패턴이 제공된다. 마스크 패턴은 복수의 이격된 맨드릴을 포함하며, 각각의 맨드릴은 상면에 놓인 캡(cap)층을 가진다. 프리-스페이서(pre-spacer)층이 각각의 캡층 상면에 놓인다. 부분적으로 제조된 집적 회로는 맨드릴 각각의 측면에 스페이서를 포함한다. 스페이서는 프리-스페이서 재료와 맨드릴 재료의 조합을 포함한다. 프리-스페이서 재료는 인접하는 스페이서 사이로 또한 연장된다.
본 발명은 바람직한 실시예의 상세한 설명 및 첨부 도면으로부터 잘 이해될 것이며, 이들은 설명을 위한 것으로 본 발명을 한정하려는 것은 아니다.
도 1A 내지 도 1F는 종래의 피치 더블링 방법에 따라 도선을 형성하기 위한 일련의 마스킹 패턴의 개략 측단면도.
도 2는 본 발명의 바람직한 실시예에 따른, 일부 형성된 집적 회로의 개략 측단면도.
도 3은 본 발명의 바람직한 실시예에 따른 포토레지스트 층에 피처를 형성한 이후의 도 2의 일부 형성된 집적 회로의 개략 측단면도.
도 4는 본 발명의 바람직한 실시예에 따른 하드 마스크 층을 통해 에칭된 이후의 도 3의 일부 형성된 집적 회로의 개략 측단면도.
도 5는 본 발명의 바람직한 실시예에 따른, 임시 층에 맨드릴 패턴을 형성하기 위하여 하드 마스크 층으로부터 맨드릴 재료 층으로 패턴을 전사한 이후의 도 4의 일부 형성된 집적 회로의 개략 측단면도.
도 6은 본 발명의 바람직한 실시예에 따른, 프리-스페이서 층을 퇴적한 이후의 도 5의 일부 형성된 집적 회로의 개략 측단면도.
도 7은 본 발명의 바람직한 실시예에 따른, 맨드릴의 측벽에 스페이서를 형성하기 위하여 프리-스페이서 층을 반응시킨 이후의 도 6의 일부 형성된 집적 회로의 개략 측단면도.
도 8은 본 발명의 바람직한 실시예에 따른, 반응하지 않은 프리-스페이서 재 료를 선택적으로 제거한 이후의 도 7의 일부 형성된 집적 회로의 개략 측단면도.
도 9는 본 발명의 바람직한 실시예에 따른, 하드 마스크 층을 제거한 이후의 도 8의 일부 형성된 집적 회로의 개략 측단면도.
도 10은 본 발명의 바람직한 실시예에 따른, 반응하지 않은 맨드릴 재료를 선택적으로 제거한 이후의 도 9의 일부 형성된 집적 회로의 개략 측단면도.
도 11은 본 발명의 바람직한 실시예에 따른, 스페이서에 의해 형성된 패턴을 스페이서 하부의 하드 마스크 층으로 전사한 이후의 도 10의 일부 형성된 집적 회로의 개략 측단면도.
도 12는 본 발명의 바람직한 실시예에 따른, 스페이서를 제거한 이후의 도 11의 일부 형성된 집적 회로의 개략 측단면도.
도 13은 본 발명의 바람직한 실시예에 따른, 마스크 층의 패턴을 하부의 기판으로 전사한 이후의 도 12의 일부 형성된 집적 회로의 개략 측단면도.
도 14는 본 발명의 바람직한 실시예에 따른, 하드 마스크 및 스페이서와 기판 사이에 배치된 추가 마스크 층을 갖는 일부 형성된 집적 회로의 개략 측단면도.
도 15는 본 발명의 바람직한 실시예에 따른, 스페이서 패턴을 추가 마스크 층 및 하부의 기판으로 전사한 이후의 도 14의 일부 형성된 집적 회로의 개략 측단면도.
도 16은 본 발명의 바람직한 실시예에 따른, 기상 반응(gas phase reaction)에 의해 스페이서를 맨드릴의 측면에 형성한 이후의 도 5의 일부 형성된 집적 회로의 개략 측단면도.
본 발명의 바람직한 실시예에서, 마스킹 공정에서, 맨드릴과 같은 임시 피처와의 반응에 의해 스페이서와 같은 마스크 피처가 형성된다. 바람직하게, 스페이서는 맨드릴의 측면에 형성되고 맨드릴에 대한 트림 에칭은 필요하지 않다. 맨드릴은 바람직하게는 적어도 하나의 다른 재료 또는 화학종과 반응하여 반응 생성물인 스페이서 재료를 포함하는 스페이서를 형성한다. 캡층은 맨드릴의 상부 수평면 상에 형성되어 그 면 상의 반응을 방지한다. 따라서, 이러한 반응은 맨드릴의 측면에서만 일어나서 측벽 맨드릴 재료를 스페이서 재료로 변경한다. 그 다음, 반응하지 않은 맨드릴 재료가 제거되어 프리 스탠딩(free-standing) 스페이서의 패턴을 남긴다. 스페이서는 스페이서 에칭 없이, 예를 들어 수평면에서 스페이서 재료를 우선적으로 제거하는 방향성 에칭을 하지 않고 형성되는 것이 바람직하다. 맨드릴 재료가 제거된 후, 프리 스탠딩 스페이서의 패턴은 이어서 하부 기판을 처리하기 위한 마스크에 사용된다.
맨드릴 재료는, 예를 들어 산화, 질화, 규화, 중합 등의 다양한 공정에 의해 스페이서 재료로 변경된다. 예를 들어, 재료 층이 (예를 들어, 맨드릴 상에 등각 블랭킷 성막(conformal blanket deposition)을 수행하여) 맨드릴의 측면에 형성되고, 맨드릴은 상기 재료 층에 반응하도록, 예를 들어 어닐링을 하여 제조된다. 다른 실시예에서, 맨드릴은 하나 이상의 가스 반응물에 노출될 수 있어 스페이서 재료를 형성하거나, 에너지(예를 들어, 빛) 또는 다른 작용제(예를 들어, 촉매)에 노출되어 노출된 맨드릴 재료를 다른 재료로, 예를 들어 맨드릴 재료의 노출된 측벽 을 중합화하거나 가교결합하여 변경시킨다.
맨드릴의 일부를 스페이서 재료로 변경하여 스페이서를 형성하므로 맨드릴을 트림할 필요가 없다. 스페이서가 맨드릴로 연장 형성되므로 스페이서가 맨드릴의 측벽에 형성되는 경우보다 스페이서 사이가 더 가깝게 형성될 수 있다. 스페이서 형성 반응의 정도는 스페이서들의 두께와 이들 사이의 간격에 영향을 미칠 수 있다. 스페이서 분리는 전형적인 피치 멀티플리케이션 공정에서 맨드릴 트림 에칭을 실행한 후 얻어지는 스페이서 분리와 유사할 수 있다. 더욱이, 트림 에칭이 필요하지 않으므로, 맨드릴로 사용할 재료를 선택할 때 트림 에칭과 호환성 있는 재료로 제한되지 않는다. 또한, 스페이서 에칭을 피할 수 있어 유리하다. 스페이서 에칭이 스페이서의 윗면 모서리를 라운딩할 수 있다는 것을 인식할 수 있다. 이와 같은 라운딩은 스페이서의 종횡비(aspect ratio)를 실제로 줄이고 그리고/또는 에칭제 또는 다른 재료를 스페이서 하부의 임의의 층에 도포할 때 에칭제 또는 다른 재료의 분포를 불균일하게 하므로 바람직하지 않을 수 있다. 바람직한 실시예에 따라 형성된 스페이서는 더 균일한 정방형을 가질 수 있다. 또한, 스페이서 에칭은 더욱 적극적일 수 있고 바람직한 실시예에서 반응하지 않은 재료를 제거하는 것보다 하부 재료를 훼손할 우려가 더욱 크다.
이제 도면을 참조할 것이며, 전체에 걸쳐 동일한 번호는 도면의 동일한 부분을 언급한다. 도면이 축척대로 도시될 필요가 없음이 인식될 것이다.
먼저, 스페이서를 형성하기 위한 일련의 재료 층이 기판 상에 형성된다.
도 2는 일부 형성된 집적 회로(100)의 측단면도를 도시한다. 바람직한 실시 예는 임의의 집적 회로를 형성하는데 사용될 수 있으며, 동적 램, 상 변화 램, 프로그램 가능 전도체(PCRAM), 롬 또는 난드(NAND) 플래시 메모리를 포함하는 플래시 메모리, 또는 로직 또는 게이트 어레이를 갖는 집적 회로와 같은 휘발성 및 비휘발성 기억 소자를 위한 메모리 셀 어레이를 포함하는 전자소자의 어레이, 또는 반복되는 패턴을 갖는 소자를 형성하는데 특히 유리하게 적용될 수 있다. 예를 들어, 로직 어레이는 보조 로직이 있는 주변 소자(periphery) 및 메모리 어레이와 유사한 코어(core) 어레이를 포함하는 현장 프로그램 가능 게이트 어레이(field programmable gate array)(FPGA)일 수 있다. 결과적으로, 집적 회로(100)는, 예를 들어 로직 어레이와 내장 메모리 모두를 포함하거나 로직 또는 게이트 어레이를 갖는 임의의 다른 집적 회로를 포함하는 메모리 칩 또는 프로세서일 수 있다.
계속하여 도 2를 참조하면, 바람직하게, 다양한 마스크 층(120 내지 150)이 기판(110) 상에 제공된다. 기판(160)은 실리콘 웨이퍼 또는 웨이퍼 상의 재료 구조 또는 층이 될 수 있다. 예를 들어, 기판 (100)은 절연막을 포함한다.
아래에 설명되는 바와 같이, 마스크 층(120 내지 150)은 기판(110)을 패턴화하기 위한 마스크를 형성하기 위해 에칭될 것이다. 마스크 층(120 내지 150)을 위한 재료는 여기에서 설명된 다양한 패턴 형성 및 패턴 전사 단계의 화학적 성질 및 공정 조건을 고려하여 선택되는 것이 바람직하다. 최상위의 선택적으로 정의가능한 층(120)과 기판(110) 사이의 층들은 선택적으로 정의가능한 층(120)으로부터 유도된 패턴을 기판(110)으로 전사하는 역할을 하는 것이 바람직하므로, 선택적으로 정의가능한 층(120)과 기판(110) 사이의 층들(130 내지 150)은 아래에 설명되는 여 러 스테이지에서 다른 노출된 재료에 대하여 선택적으로 에칭될 수 있도록 선택되는 것이 바람직하다. 어떤 재료가 주변의 재료보다 적어도 약 5배, 바람직하게는 적어도 약 10배 이상, 더 바람직하게는 적어도 약 20배 이상, 가장 바람직하게는 적어도 약 40배 이상 큰 에칭 속도로 에칭될 때 이 재료가 선택적 또는 우선적으로 에칭된다고 인식 될 것이다. 기판(110) 상부의 층들(120 내지 150)의 목적은 잘 한정된 패턴이 기판(110)에 형성되도록 하는 것이므로, 만약 다른 적절한 재료, 화학물질 및/또는 공정조건이 사용되면 하나 이상의 층(120 내지 150)이 생략되거나 대체될 수 있음을 인식할 것이다. 예를 들어, 이러한 층에서 해상도 향상 특성을 가지기 원하는 일부 실시예에서, 층들(120 내지 130) 사이에 반사방지 코팅이 형성될 수 있다. 아래에서 더 설명될 다른 실시예에서, 기판(110)에 대하여 개선된 에칭의 선택비(selectivity)를 갖는 마스크를 형성하기 위하여 추가 마스크 층이 층(150)과 기판(110) 사이에 추가될 수 있다. 선택적으로, 적합한 에칭 화학물질이 이용 가능하다면, 층(150)은 생략될 수 있으며, 예를 들어 스페이서(175)(도 10)는 임의의 중간(intervening) 재료 없이 기판을 패턴화 하는 마스크로 사용될 수 있다. 여기에서 설명한 다양한 층을 위한 예시적인 재료는 산화 규소, 질화규소, 규소, 비정질 탄소, 유전체 반사방지 코팅(DARC)(고 규소 산질화규소) 및 유기물 하부 반사방지 코팅(BARC)을 포함하며, 이들 각각은 적용예에 따라 2 또는 3개의 다른 재료에 대하여 선택적으로 에칭될 수 있다.
다양한 층에 대하여 적합한 재료를 선택하는 것 이외에도, 층(120 내지 150)의 두께를 여기에서 설명한 에칭 화학물질 및 공정조건과의 호환성에 따라 선택되 는 것이 바람직하다. 예를 들어, 하부 층을 선택적으로 에칭하여 패턴을 상부 층에서 하부 층으로 전사할 때 두 개 층의 재료가 어느 정도 제거된다. 패턴을 전사하는 과정에서 상부 층이 마멸되지 않을 정도로 상부 층은 충분히 두꺼운 것이 바람직하다.
선택적으로 정의가능한 층(120)은 하드 마스크 층(130) 위에 놓이는 것이 바람직하여, 아래에서 설명하는 바와 같이 반응 촉진제로부터 맨드릴(145)(도 5)을 보호하는 캡 층 역할을 할 수 있다. 층(130)은 맨드릴 층(140) 위에 놓이며, 맨드릴 층(140)은 제2 하드 마스크 층 또는 에칭 정지 층(150) 위에 놓이며, 제2 하드 마스크 층(150)은 기판(110) 위에 놓여 마스크를 통해 처리(예를 들어, 에칭)된다. 선택적으로 정의가능한 층(120)은 바람직하게 광한정성(photodefinable)이며, 예를 들어 포토레지스트로 형성되며, 당 기술 분야에서 공지된 임의의 포토레지스트를 포함한다. 예를 들어, 포토레지스트는 157 nm, 193 nm, 248 nm 또는 365 nm 파장 시스템, 193 nm 파장 함침(immersion) 시스템, (13.7 nm 파장 시스템을 포함하는) 극자외선(extreme ultraviolet) 시스템, 또는 전자 빔 리소그래피 시스템에 양립 가능한 임의의 포토레지스트라도 가능하다. 또한, 선택적으로 정의가능한 층(120)을 한정하기 위하여 무마스크 리소그래피 또는 무마스크 포토리소그래피를 사용할 수 있다. 바람직한 포토레지스트 재료의 예로 불화아르곤(ArF) 감응 포토레지스트, 즉 ArF 광원과 사용하기에 적합한 포토레지스트와, 불화크립톤(KrF) 감응 포토레지스트, 즉 KrF 광원과 사용하기에 적합한 포토레지스트를 들 수 있다. ArF 포토레지스트는 비교적 단파장, 예를 들어 193 nm의 빛을 활용하는 포토리소그래피 시스템에 사용되는 것이 바람직하다. KrF 포토레지스트는, 248 nm 시스템과 같은 장파장 포토리소그래피 시스템에 사용되는 것이 바람직하다. 다른 실시예에서, 층(120) 및 임의의 이어지는 레지스트 층은 나노-임프린트 리소그래피로, 예를 들어 레지스트를 패턴화하기 위한 기계적 힘 또는 몰드를 사용하여 패턴화 될 수 있는 레지스트로 형성될 수 있다.
하드 마스크 층(130)으로 사용되는 재료는 무기재료를 포함하는 것이 바람직하다. 예시적인 재료로 산화규소 및 질화규소를 포함하지만 이에 한정되지 않는다. 도시된 실시예에서, 하드 마스크 층(130)은 질화규소를 포함한다. 맨드릴 층(140)은 스페이서 재료로 변경될 수 있는 재료로 형성되는 것이 바람직하며, 이는 반응하지 않은 맨드릴 재료, 하드 마스크 층(130) 및 스페이서 하부의 재료에 대하여 우수한 에칭 선택비를 제공한다. 도시된 실시예에서, 맨드릴 재료는 규소이다.
제2 하드 마스크 층(150)으로 사용되는 재료는 스페이서(175)(도 11)및 하부 기판(110)으로 사용되는 재료를 기반으로 선택되는 것이 바람직하다. 층(150)이 기판(110)을 에칭하기 위한 마스크로 사용될 경우, 층(150)은 마스크를 통해 실시되는 기판(110)의 원하는 처리(예를 들어, 에칭, 도핑, 산화 등)에 대하여 내성이 있는 재료로 형성되는 것이 바람직하고, 스페이서(175)(도 11)에 대하여 선택적으로 에칭될 수 있다. 예를 들어, 제2 하드 마스크 층(150)은 질화물, 예를 들어 질화규소일 수 있고, 또는 산화물, 예를 들어 산화규소일 수 있다. 도시된 실시예에서, 제2 하드 마스크 층(150)은 산화규소를 포함한다.
여기에서 설명한 다양한 층들은 당 기술 분야에서 공지된 다양한 방법으로 형성될 수 있다. 예를 들어, 스핀-온 코팅 공정이 광한정성 층, BARC 및 스핀-온 유전체 산화층을 형성하는데 사용될 수 있다. 스퍼터링, 화학 증착(CVD) 및/또는 원자층 증착(ALD)과 같은 다양한 퇴적 공정이 다양한 하드 마스크, 캡, 맨드릴 층을 형성하는데 이용될 수 있다. 더욱이, 층(140)과 같은 일부 층은 다른 층을 형성하기 위하여 반응한다. 예를 들어, 규소와 질소 전구체를 이용하여 질화규소 층을 퇴적하기 보다는, 규소 층(140)의 상면을 질소 전구체를 이용하여 질화하여 질화규소 층을 형성하여, 이는 (하기 설명으로 더욱 잘 이해가 되는 바와 같이) 이어서 산출되는 맨드릴을 위한 하드 마스크 층 또는 캡 층의 역할을 할 수 있다.
원하는 적층물을 형성한 이후, 스페이서의 패턴이 형성된다.
도 3을 참조하면, 광한정성 재료로 형성되는 피처(124)에 의해 경계가 결정되는 공간 또는 트렌치(122)를 포함하는 패턴이 광한정 층(120)에 형성된다. 트렌치(122)는 248 nm 또는 193 nm 파장의 빛으로 포토리소그래피에 의해 형성되며, 층(120)이 레티클을 통해 방사광에 노출되어 현상된다. 나머지 광한정성 재료는 현상된 후, 라인(124)(단면에서만 도시됨)에 도시된 바와 같은 마스크 피처를 형성한다. 유리하게, 라인(124)은 100 nm 이하의 피치를 갖는 스페이서를 형성하기 위하여 약 120 nm 이하, 또는 약 80 nm 이하의 폭을 가지도록 형성될 수 있다.
도 4를 참조하면, 포토레지스트 피처(124) 및 트랜치(122)의 패턴은 하드 마스크 층(130)으로 전사된다. 전사되는 패턴의 공간을 불필요하게 넓히지 않고 하드 마스크 층(130)이 에칭되어 관통될 정도로 충분히 얇을 경우 습식(등방성) 에칭 도 적합할 수 있지만, 이러한 전사는 CF4, CF4/H2, CF4/O2, SF6 또는 NF3를 포함하는 플라즈마를 사용하는 에칭과 같은 이방성 에칭에 의해 이루어진다.
도 5를 참조하면, 광한정 층(120) 및 하드 마스크 층(130)의 패턴이 맨드릴 층(140)으로 전사되어 맨드릴 또는 임시 플레이스홀더(145)를 형성한다. 이러한 전사는, 예를 들어 HBr/HCl 또는 CHCl3/Cl2를 포함하는 플라즈마를 채용하는 이방성 에칭에 의해 이루어진다. 맨드릴(145)의 폭은 실질적으로 라인(124)의 폭과 비슷한 것이 바람직 한데, 예를 들어 맨드릴(145)은 약 120 nm 이하, 또는 약 80 nm 이하의 폭을 갖는 것이 바람직하다.
도 6을 참조하면, 프리-스페이서 재료, 즉 스페이서를 형성하기 위하여 반응하는 재료가 맨드릴(145)의 측벽에 퇴적된다. 프리-스페이서 재료는 맨드릴 상에 하나의 층(170)으로 블랭킷 성막되는 것이 바람직하다. 도시된 실시예에서, 층(170)을 형성하는 프리-스페이서 재료는 티타늄이다. 예로서 CVD 및 ALD를 포함하는 당 기술분야에서 공지된 다양한 방법에 의해 퇴적이 이루어질 수 있다. 층(170)의 두께는 하기에서 설명되는 바와 같이 프리-스페이서 재료(170)가 맨드릴(145)과 반응한 후 원하는 폭의 스페이서(175)(도 7)를 형성하기 위한 충분한 재료를 공급하도록 선택되는 것이 바람직하다.
도 7을 참조하면, 이어서 티타늄 프리-스페이서 층(170)과 맨드릴(145)은 서로 반응하여 도시된 실시예의 규화티타늄으로 구성된 스페이서(175)를 형성한다. 맨드릴(145)에는 반응이 맨드릴(145)의 측벽에 집중되도록 캡 층이 구비되는 것이 바람직하다는 것은 인식될 것이다. 도시된 실시예에서, 티타늄 프리-스페이서 층(175)과 맨드릴(145)의 상면 사이의 접촉을 방지함으로써, 하드 마스크 층(130)은 캡 층으로 작용하여 맨드릴(145)의 상면이 반응하는 것을 방지한다. 다른 실시예에서, 하드 마스크 층(130)의 존재에 관계없이, 예를 들어 맨드릴(145)상면에서의 퇴적 또는 반응에 의해서, 캡 층이 별도로 형성될 수 있다.
바람직하게, 층(170)과 맨드릴(145)의 온도를 상승시켜, 예를 들어 어닐링하여, 자가 정렬 규소화 반응이 일어나도록 한다. 예를 들어, 부분적으로 제조된 집적 회로(100)를 섭씨 약 550 내지 800도, 더 바람직하게는 약 650 내지 680도에서, 약 5 내지 90초, 더 바람직하게는 약 20 내지 60초 동안 급속 열처리(rapid thermal processing)(RTP) 할 수 있다.
반응의 정도와 맨드릴(145)의 규소와 반응하는 층(170)의 티타늄 양은 온도와 어닐링의 지속 시간과 관련이 있다. 따라서, 유리하게는, 온도와 어닐링의 지속 시간과 같은 반응 조건은 스페이서(175)에 대해 원하는 폭 및/또는 분리 거리에 따라 선택될 수 있다. 예를 들어, 맨드릴(145)과 층(170)은 분리가 약 80 nm 이하, 더 바람직하게는 약 50 nm 이하가 될 때까지 반응할 수 있다.
다른 실시예에서, 맨드릴(145) 측벽에서의 티타늄 층(170) 일부는 바람직하게는 완전히 반응한다. 이들 일추가 완전히 반응함으로써 어닐링의 처리 윈도우가 더 넓어지고 스페이서(175)의 폭을 더 효과적으로 제어할 수 있는 데, 이는 반응으로 인하여 형성되는 스페이서(175)의 최대 폭이 티타늄 층(170)의 두께에 의해 제한되기 때문이다. 예를 들어, 층(170)을 주어진 폭으로 퇴적함으로써 어닐링의 지 속 시간 및/또는 온도가 같은 폭의 스페이서(175)를 형성하는데 필요한 지속 시간 및/또는 온도를 초과하도록 할 수 있는 데, 층(170)의 재료의 양(예를 들어, 폭)은 일반적으로 스페이서(175)의 성장을, 특히 층(170) 방향으로 제한하기 때문이다.
도 8을 참조하면, 층(170)의 반응하지 않은 티타늄이 선택적으로 제거되어 맨드릴(145)의 측면에 스페이서(175)가 남게 된다. 이러한 제거는 습식 또는 건식 에칭에 의해 달성될 수 있다. 습식 에칭은 비용이 줄어들고 스페이서(175) 구조에 손상을 적게 하는 장점이 있다. 적합한 에칭의 예로, H2O, H2O2 및 NH4OH를 포함하는 습식 에칭이 있다. 스페이서(175)는 서브리소그래피 구조, 즉 이는 임계 치수, 예를 들어 스페이서 패턴을 형성하는데 사용하는 포토리소그래피 기술의 해상도 한계(resolution limit) 이하의 폭을 가지며, 이 경우 포토리소그래픽 기술은 층(120)의 패턴을 형성하는데 사용된다.
도 9를 참조하면, 질화규소 캡 층(130)이 스페이서(175)에 대하여 선택적으로 제거된다. 이러한 제거는 건식 또는 습식 에칭, 예를 들어 고온의 인산에 의해 달성될 수 있다.
다음으로, 도 10에 도시된 바와 같이, 반응하지 않은 맨드릴 재료가 선택적으로 제거되어 프리스탠딩 스페이서(175)의 패턴을 형성한다. 이러한 제거를 위하여 건식 또는 습식 에칭이 채용될 수 있다. 예시적인 습식 에칭은 HF, HNO3 및 H2O를 포함한다. 스페이서(175) 하부에 하드 마스크 층(150)이 제공될 수 있어, 기판(110)을 보호하고, 기판(110)의 재료가 의도하지 않게 제거되지 않으면서 반응하 지 않은 맨드릴 재료가 제거되도록 한다. 따라서, 유리하게는, 포토리소그래피에 의해 최초로 형성된 포토레지스트 라인(124)과 스페이서(122)(도 3)의 대략 절반인 피치를 갖는 스페이서(175)가 형성될 수 있다. 포토레지스트 라인(124)이 약 200 nm의 피치를 갖는 경우, 약 100 nm 이하의 피치를 갖는 스페이서(175)가 형성될 수 있다.
스페이서(175)가 맨드릴(145)의 측벽에 형성되므로 스페이서(175)는 맨드릴(145)의 윤곽을 대체로 따르고, 그에 따라서, 폐쇄 루프를 통상 형성하는 것이 인식될 것이다. 도선과 같은 피처를 형성하기 위하여 피치가 증가된(pitch multiplied) 패턴이 사용되는 경우, 이들 루프의 단부에서 패턴의 전사를 차단 또는 방지하기 위해 추가의 처리 단계가 사용될 수 있어서, 각각의 루프가 두 개의 독립적이며 연결되지 않은 라인을 형성하는 것이 인식될 것이다. 이는, 예를 들어, 보호 재료 층을 루프 상에 퇴적하고, 유지되는 라인의 일부 주변에 보호 마스크를 형성하기 위하여 보호 층을 패턴화하고, 루프의 보호되지 않은 부분, 즉 루프의 단부를 에칭으로 제거함으로써, 달성될 수 있다. 루프의 단부를 차단하는 적절한 방법은, 모든 내용이 여기에 참조로 포함된 트란(Tran) 등이 2004년 8월 31일자로 출원한 미국 특허출원 제10/931,771호에 개시되어 있다.
도 11을 참조하면, 스페이서를 제거하는 동안 기판(110)을 보호하는 것에 더하여, 하드 마스크 층(150)은 스페이서(175)의 패턴이 기판(110)으로 전사될 경우 기판(110)에 대한 개선된 에칭 선택비를 허용하는 것이 바람직하다. 전술된 바와 같이, 도시된 실시예에서, 하드 마스크 층(150)은 상기한 바와 같이 산화규소로 형 성된다. 스페이서(175)의 패턴은, 예를 들어 CHF3, CF4 또는 C2F6 플라즈마와 같은 이방성 에칭을 이용하여 층(150)으로 전사되는 것이 바람직하다. 하드 마스크 층(150)이 충분히 얇으면 언더커팅(undercutting)을 최소화하면서 패턴을 전사하기 위하여 습식 에칭도 이용할 수 있다는 것이 인식될 것이다.
다음은, 하드 마스크 층(150)이 하부 기판(110)을 패턴화하기 위하여 사용될 수 있다.
기판(110)을 에칭하기 전 또는 후에 스페이서(175)가 제거될 수 있다. 바람직하게는, 하드 마스크 층(150)의 재료가 기판(110)의 재료에 대하여 뛰어난 에칭 선택비를 제공하는 경우, 예를 들어 스페이서(175)가 하드 마스크 층(150)에 보충될 필요가 없는 경우, 스페이서(175)는 패턴이 기판(110)으로 전사되기 이전에 제거될 수 있다. 스페이서 제거는, 예를 들어 희석 HF 습식 에칭을 이용하여 달성될 수 있다. 유리하게는, 스페이서의 제거로 처리를 진행시키는 공간, 예를 들어 에칭제가 기판(110)에 도달하도록 이동하여야 하는 공간의 종횡비가 낮아지고, 특히 스페이서(175)가 높고 좁은 경우, 스페이서(175)의 파손 및 변형으로 인하여 패턴이 변형될 가능성을 최소화된다. 따라서, 도 11에 도시된 바와 같이, 스페이서(175)가 제거될 수 있어서 기판의 에칭이 원활히 된다.
도 13을 참조하면, 하드 마스크 층(150)의 패턴이, 예를 들어 소정의 에칭 또는 기판(110)의 재료에 따라 선택할 수 있는 여러 에칭의 조합을 이용하여 기판(110)으로 전사될 수 있다. 마스크를 통해 기판을 에칭하는 것에 더하여, 다른 실시예에서, 층(150)의 마스크를 통한 다른 종류의 처리가 또한 가능하다. 다른 공정의 한정하지 않는 예는 주입, 확산 도핑, 리프트-오프 패턴 퇴적, 산화, 질화 등에 한정되지 않는다.
도 14를 참조하면, 다른 실시예에서, 특히 기판(110)을 에칭하기 곤란하거나 마스크를 통해 더 긴 시간 동안 처리하기를 원할 경우, 마스크 재료의 하나 이상의 추가적인 중간층이 스페이서(175)와 기판(110) 사이에 형성된다. 예를 들어, 모든 내용이 여기에 참조로 포함된 트란(Tran) 등이 2005년 3월 15일자로 출원한 미국 특허출원 제60/662,323호, 대리인 문서 번호 제MICRON.316PR호(마이크론 참조 번호 제2004-1130.00/US호) "포토리소그래피의 피처들에 대한 피치가 감소된 패턴"에서 논의된 바와 같이, 추가의 층(160)이 제공될 수 있다.
계속하여 도 14를 참조하면, 층(150)은 바람직하게는 (도 9에서) 스페이서(175), 층(160) 및 맨드릴(145)에 대하여 뛰어난 에칭 선택비를 갖는 재료를 포함한다. 층(160)은 비정질 탄소로 형성되는 것이 바람직하며, 이는 유리하게 기판(110) 내의 규소 물질을 제거하기 위한 많은 에칭 화학물질에 대하여 내성을 가진다.
도 15를 참조하면, 스페이서(175)에 의해 한정되는 패턴이 층(160)으로 전사되어, 이는 기판(110)을 패턴화하기 위한 주 마스크 역할(160)을 한다. 유리하게, 다른 실시예에서, 비정질 탄소를 에칭할 때 극단적인 선택비가 가능하므로, 스페이서(175)를 제거한 이후 패턴화된 하드 마스크 층(150)이 패턴을 층(150)에서 층(160)으로 전사하기 위하여 사용된다. 따라서, 패턴을 주 마스크 층(160)으로 전사하는 데 사용되는 마스크는 더 낮고 더 균일한 종횡비 피처를 가지게 된다. 다른 실시예에서, 적합한 다른 재료와 함께, 하드 마스크 층(150)은 그 자신이 비정질 탄소로 형성될 수 있다.
또 다른 실시예에서, 기판(110)과 스페이서(175) 사이에 중간 층, 예를 들어 하드 마스크 층(150)이 형성되지 않을 수 있다. 이 경우, 특히 스페이서 재료가 기판에 대하여 우수한 에칭 선택비를 가질 경우, 어떠한 중간 하드 마스크 층도 없이 스페이서(175)의 패턴을 통해 기판(110)이 처리될 수 있다.
바람직한 실시예에 따른 스페이서의 형성은 많은 장점을 제공하는 것이 인식될 것이다. 예를 들어, 맨드릴 트림 에칭이 필요하지 않고, 트림 에칭으로 발생될 수 있는 스페이서의 비균일성이 제거될 수 있다. 반면에, 프리-스페이서 층(170)의 퇴적과, 예를 들어 어닐링과 같은 스페이서 형성 반응의 정도는 스페이서의 폭과 스페이서 간격 모두를 결정한다. 또한, 트림 에칭을 실시하지 않으므로, 트림 에칭과의 호환성에 대한 요구사항이 제거되어, 맨드릴을 형성하기 위하여 사용될 수 있는 재료의 범위가 확대될 수 있다. 따라서, 처리에 대한 융통성이 증가될 수 있다. 또한, 방향성 스페이서 에칭이 필요 없게 되어, 대칭형 숄더(shoulder)를 갖는 스페이서(175)를 형성할 수 있고 하부 층의 손상을 최소화하는 장점이 있다.
바람직한 실시예에서 스페이서 에칭이 필요하지 않은 한편, 몇몇 배열에서, 맨드릴의 측면에 프리-스페이서 재료로 형성되는 스페이서 피처를 형성하기 위하여, 프리-스페이서 재료와 맨드릴 재료가 반응하기 이전에 프리-스페이서 재료 층(170)에 스페이서 에칭을 실시할 수 있다. 이러한 스페이서 피처는 맨드릴 재료 와 반응할 수 있어 스페이서(175)를 형성하며, 이는 맨드릴 재료와 하부 재료에 대하여 선택적으로 에칭이 가능하다.
도시된 실시예에서 특정한 처리 단계 및 재료를 참조하여 설명한 반면, 다양한 변형도 가능하다는 것이 인식될 것이다. 예를 들어, 규화티타늄 이외에 다양한 규소화합물이 스페이서를 형성하는데 사용될 수 있다. 규화금속을 형성하기 위한 다른 규소화합물의 예로 탄탈, 하프늄 및 니켈을 들 수 있다. 이상의 예에서, 이들 금속은 맨드릴 상에 퇴적되고 어닐링될 수 있어서 규화금속을 형성한다. 다른 배열에 있어서, 재료의 혼합이 역으로 될 수 있다. 예를 들어, 맨드릴(145)이 금속성이고, 규소 층이 맨드릴 상부에 퇴적되어 규화금속을 형성할 수 있다. 또한, 맨드릴(145)은 비정질 탄소를 포함하고, 층(170)은 비정질 탄소와 반응하는 다양한 다른 재료를 포함할 수 있다. 예를 들어, 층(170)은 규소를 포함하여 탄화규소 스페이서(175)를 형성하거나, 층(170)은 금속을 포함하여 탄화금속을 형성할 수 있다.
도 16을 참조하면, 퇴적된 금속 층이 맨드릴과 반응하는 고상 반응으로 스페이서(175)의 형성이 설명된 반면, 스페이서(175)는 고상 반응물 사이의 반응 이외의 다양한 다른 공정에 의해 형성될 수 있다. 일부 실시예에서, 맨드릴(145)은 가스 상태의 반응물과 반응하여 규소화합물이 아닌 스페이서를 포함하는 스페이서를 형성할 수 있다. 예를 들어, 규소 맨드릴(145)의 규화는 맨드릴(145)을 기상 금속 반응물(예를 들어, TiCl4, WF6 등)에 노출시킴으로써 달성될 수 있고, 또는 맨드 릴(145) 재료의 기상 규화(gas phase silicidation)는 맨드릴(145)을 기상 규소 반응물(예를 들어, SiH4 등)에 노출시켜 이루어질 수 있다. 또한, 산화규소 스페이서는 규소 맨드릴을 산화체(oxidant)에 노출시킴으로써 형성될 수 있고, 또는 캡 층이 질화규소 이외의 적합한 재료인 경우, 질화규소는 규소 맨드릴을 반응성 질소종(reactive nitrogen species)에 노출시켜 노출시킴으로써 형성될 수 있다. 다른 실시예에서, 맨드릴(145)은 비정질 탄소, 레지스트, 또는 탄소 도핑된 재료(예를 들어, 모든 내용이 여기에 참조로 포함된 미국 특허 제6,515,355에 개시되어 있음)와 같은 탄소종일 수 있으며, 이는 스페이서를 형성하기 위하여 폴리머로 변경되거나 가교결합된다. 에너지(예를 들어, 빛) 또는 다른 작용제(예를 들어, 촉매)에 노출시켜 이러한 변경을 일으킨다. 스페이서(175)가 형성된 후, 부분적으로 제조된 집적 회로(100)는 도 9 내지 15와 관련하여 위에서 설명한 바와 같이 처리될 수 있다.
또한, 도시 및 설명의 편의를 위해 위에서는 두 가지 재료를 논의하였지만, 두 가지보다 많은 재료가 반응하여, 예를 들어 기상 반응물과의 반응으로 형성된 SiOxNy와 같은 원하는 스페이서 재료를 형성할 수 있다. 이러한 추가 재료는, 예를 들어, 서로 퇴적된 프리-스페이서 재료의 다수 층을 형성한 후 어닐링을 하거나, 그리고/또는 단 층의 프리-스페이서 재료를 퇴적시키고 이 층이 맨드릴 층과 반응하도록 어닐링하고 프리-스페이서 재료의 하나 이상의 추가 층을 퇴적한 후 어닐링함으로써 반응할 수 있다. 다른 실시예에서, 프리-스페이서 재료의 퇴적 층에 더 하여 또는 그에 대체하여 기상 반응물로서 추가 재료가 소개될 수 있다.
또한, 맨드릴을 형성할 때 트림 에칭을 하지 않는 것이 바람직하지만, 원할 경우에는 트림 에칭을 실시할 수도 있다. 예를 들어, 현상 후 포토레지스트 층에 트림 에칭을 실시할 수 있으며, 그리고/또는 맨드릴에 트림 에칭을 실시할 수 있다. 이러한 트림 에칭은 예외적으로 서로 근접한 스페이서를 형성하는데 유용할 수 있다.
또한, 맨드릴을 형성한 후 캡 층을 형성할 수 있다. 예를 들어, 맨드릴 상면은 방향성을 갖고 인가된 반응물, 예를 들어 이온 주입과 유사한 공정에서 반응하여 캡 층을 형성한다.
더욱이, 스페이서는 맨드릴에 대한 캡 층이 없이 형성된다. 예를 들어, 금속 층이 맨드릴 상부에 등각(conformally)으로 퇴적될 수 있으며, 맨드릴의 상면 및 측면이 모두 반응할 수 있다. 예를 들어, 방향성 건식 에칭을 실시함으로써, 또는 맨드릴 사이의 빈 공간을 충전 재료로 충전하고 화학 기계적 연마를 수행하여 상부를 제거하고 충전 재료를 제거함으로써, 반응한 상부를 제거한다.
스페이서와 마찬가지로, 여기에서 설명한 다양한 다른 층 및 부분에 대하여 다른 재료를 사용할 수 있음이 인식될 것이다. 바람직하게, 전술된 바와 같이, 사용될 수 있는 임의의 다른 재료는 선택적 에칭 단계 동안 노출되는 재료에 대하여 적합한 에칭 선택비를 제공한다. 더욱이, 기판(110)은 서로 다른 재료들을, 예를 들어 서로 다른 재료 층 또는 기판의 서로 다른 측방 영역의 서로 다른 재료를 포함할 수 있다. 스페이서에 의해 한정된 패턴을 기판으로 전사하기 위하여, 한 가 지 화학물질이 서로 다른 재료 전부를 에칭하는데 충분하지 않다면, 일련의 서로 다른 화학물질, 바람직하게는 건식 에칭 화학물질을 사용하여 서로 다른 재료들에 대하여 연속적으로 에칭할 수 있다.
화학물질 또는 일련의 화학물질들에 따라, 상부 스페이서와 하드 마스크 층이 에칭될 수 있음이 인식될 것이다. 몇몇 경우에, 추가 마스크 층(160)(도 14)이 바람직하게는 우수한 에칭 선택비를 위해 사용된다. 비정질 탄소를 주 마스크 층(160)에 사용하는 것은 종래의 에칭 화학물질, 특히 규소를 포함하는 재료를 에칭하는데 사용되는 화학물질에 대해 뛰어난 내성(resistance)을 제공한다. 따라서, 주 마스크 층(160)은 복수의 기판 층을 통해 에칭하기 위한 또는 고 종횡비를 갖는 트렌치를 형성하기 위한 마스크로서 효과적으로 사용될 수 있다.
또한, 여기에서 설명한 마스크는, 특히 메모리와 로직 어레이 또는 평판 디스플레이를 위한 커패시터와 트랜지스터와 같은 다양한 전기 소자의 전도성 상호 연결 라인, 랜딩 패드(landing pad) 및 부품을 포함하지만 이에 제한되지 않는, 조밀하고 반복되는 패턴이 요구되는 다양한 집적 회로 피처를 형성하는데 사용될 수 있다. 그와 같이, 설명의 편의를 위하여 규칙적인 간격 및 폭을 갖는 라인으로 설명하였으나, 마스크는 다양한 간격을 갖는 피처를 가질 수 있다. 또한, 단일 레벨로 형성되는 스페이서로 설명하였으나, 다른 실시예에서, 스페이서는 다수의 수직 레벨로 형성되고 하나의 레벨에서 결합하여 마스크 패턴을 형성할 수 있다.
또한, 포토레지스트 층(120)에 형성된 패턴의 피치를 두 배 이상으로 늘릴 수 있다. 예를 들어, 스페이서(175) 주변에 추가 스페이서가 형성되는 종래의 피 치 멀티플리케이션 공정을 위한 맨드릴로 스페이서(175)를 사용하여 패턴은 피치가 더 증가될 수 있고, 이후 스페이서(175)를 제거하여 이전에는 스페이서(175) 주변에 형성되었던 스페이서 주변에 스페이서가 형성된다.
또한, 바람직한 실시예는 집적 회로 제조공정을 통해 다수 회 채용될 수 있어서, 수직으로 연속 또는 비연속이며 수직으로 분리될 수 있는, 복수의 수직 레벨에서 피처를 형성한다. 이러한 경우, 패턴화 되는 각각의 개별 레벨이 기판(110)을 구성할 것이다. 더욱이, 몇몇 바람직한 실시예는 다른 바람직한 실시예, 또는 당 기술분야에서 공지된 다른 마스킹 방법과 결합될 수 있어, 동일한 기판(11)의 다른 부분 또는 다른 수직 레벨 상에 피처를 형성할 수 있다.
따라서, 이러한 많은 생략, 추가 및 변형이 본 발명의 범위로부터 벗어나지 않고 위에서 설명된 방법 및 구조로 이루어지는 것은 당 기술 분야의 숙련자에 의해 인식될 것이다. 이러한 모든 변형 및 수정을 첨부된 청구의 범위에 의해 한정 되는 바와 같이 본 발명의 범주 내에 있는 것으로 의도된다.

Claims (45)

  1. 임시 재료(temporary material)로 형성된 복수의 임시 플레이스홀더(placeholder)를 기판 위의 영역을 가로질러 형성하는 단계 - 상기 임시 플레이스홀더는 공간들에 의해 분리됨 - ;
    복수의 스페이서들을 형성하기 위해 상기 임시 재료의 일부를 다른 재료로 변경하는 단계 - 상기 다른 재료는 복수의 마스크 피처(feature)를 형성함 - ;
    변경되지 않은 임시 재료를 선택적으로 제거하는 단계; 및
    상기 복수의 스페이서들에 의해 정의된 마스크 패턴을 통해 상기 기판을 처리하는 단계
    를 포함하는 반도체 처리 방법.
  2. 제1항에 있어서,
    상기 임시 재료의 일부를 변경하는 단계는 상기 임시 플레이스홀더의 측벽들을 화학종과 선택적으로 반응시키는 단계를 포함하는 반도체 처리 방법.
  3. 제2항에 있어서,
    맨드릴(mandrel)의 측벽을 선택적으로 반응시키는 단계는 상기 맨드릴의 상부 수평면 위에 캡 층을 제공하는 단계를 포함하며,
    상기 캡 층은 상기 상부 수평면에서의 반응을 억제하는 반도체 처리 방법.
  4. 제3항에 있어서,
    상기 캡 층은 질화물을 포함하는 반도체 처리 방법.
  5. 제2항에 있어서,
    상기 임시 플레이스홀더의 측벽을 선택적으로 반응시키는 단계는, 상기 마스크 피처의 원하는 임계 치수에 기초하여 상기 측벽들을 반응시키기 위한 반응 조건들을 선택하는 단계를 포함하는 반도체 처리 방법.
  6. 제5항에 있어서,
    상기 임시 플레이스홀더의 측벽을 선택적으로 반응시키는 단계는 어닐링을 수행하는 단계를 포함하며, 상기 반응 조건들을 선택하는 단계는 상기 원하는 임계 치수에 기초하여 어닐링 온도 및 지속 시간을 선택하는 단계를 포함하는 반도체 처리 방법.
  7. 제2항에 있어서,
    상기 임시 플레이스홀더의 측벽을 선택적으로 반응시키는 단계는 상기 측벽들을 고상 반응물에 노출시키는 단계를 포함하는 반도체 처리 방법.
  8. 제7항에 있어서,
    상기 측벽들을 노출시키는 단계는 상기 고상 반응물을 포함하는 층의 블랭킷 성막(blanket deposition)을 포함하는 반도체 처리 방법.
  9. 제8항에 있어서,
    상기 블랭킷 성막은 화학 기상 증착을 포함하는 반도체 처리 방법.
  10. 제8항에 있어서,
    상기 블랭킷 성막은 원자 층 성막을 포함하는 반도체 처리 방법.
  11. 제8항에 있어서,
    상기 임시 재료의 일부를 변경하는 단계는 상기 고상 반응물을 포함하는 층의 일부분을 상기 임시 재료와 반응시키는 단계를 포함하는 반도체 처리 방법.
  12. 제11항에 있어서,
    상기 층의 반응하지 않은 나머지 부분을 우선적으로 제거하는 단계를 더 포함하는 반도체 처리 방법.
  13. 제7항에 있어서,
    상기 고상 반응물은 금속을 포함하고, 상기 다른 재료는 금속 실리사이드를 포함하는 반도체 처리 방법.
  14. 제13항에 있어서,
    상기 금속은 티타늄, 탄탈륨, 하프늄 및 니켈로 이루어진 군으로부터 선택되는 반도체 처리 방법.
  15. 제2항에 있어서,
    맨드릴의 측벽들을 선택적으로 반응시키는 단계는 상기 측벽들을 기상 반응물에 노출시키는 단계를 포함하는 반도체 처리 방법.
  16. 제15항에 있어서,
    상기 기상 반응물은 질소 함유 종(species)인 반도체 처리 방법.
  17. 제15항에 있어서,
    상기 기상 반응물은 산소 함유 종인 반도체 처리 방법.
  18. 제1항에 있어서,
    상기 복수의 임시 플레이스홀더를 형성하는 단계는,
    기판 위의 선택적으로 정의가능한 층에 패턴을 정의하는 단계; 및
    상기 패턴을 상기 선택적으로 정의가능한 층으로부터 상기 임시 재료로 형성된 하부 층으로 전사하는 단계를 포함하는 반도체 처리 방법.
  19. 제18항에 있어서,
    상기 패턴을 정의하는 단계는 포토리소그래피를 수행하는 단계를 포함하는 반도체 처리 방법.
  20. 제19항에 있어서,
    상기 패턴을 정의하는 단계는 193nm 또는 248nm의 파장 광을 이용하여 포토리소그래피를 수행하는 단계를 포함하는 반도체 처리 방법.
  21. 제1항에 있어서,
    상기 패턴을 전사하는 단계는 이방성 에칭을 수행하는 단계를 포함하는 반도체 처리 방법.
  22. 제1항에 있어서,
    상기 변경되지 않은 임시 재료를 선택적으로 제거하는 단계는 습식 에칭을 수행하는 단계를 포함하는 반도체 처리 방법.
  23. 제1항에 있어서,
    상기 스페이서들은 그 스페이서들과 직교하여 연장하는 적어도 제1 이격 평면과 제2 이격 평면의 사이에서 일반적으로 서로 평행한 관계로 이격되어 연장되는 반도체 처리 방법.
  24. 제1항에 있어서,
    맨드릴 재료는 실리콘을 포함하는 반도체 처리 방법.
  25. 제1항에 있어서,
    상기 다른 재료는 폴리머를 포함하는 반도체 처리 방법.
  26. 집적 회로를 제조하는 방법으로서,
    집적 회로의 영역에 맨드릴을 제공하는 단계;
    상기 맨드릴 위에 재료 층을 성막하는 단계; 및
    상기 재료 층을 등방성으로 에칭하여 상기 맨드릴의 측부에 노출된 스페이서들을 남기는 단계
    를 포함하는 제조 방법.
  27. 제26항에 있어서,
    상기 재료 층은 프리-스페이서(pre-spacer) 재료를 포함하는 제조 방법.
  28. 제27항에 있어서,
    등방성 에칭 전에 상기 프리-스페이서 재료를 상기 맨드릴과 반응시키는 단 계를 더 포함하며,
    상기 프리-스페이서 재료를 상기 맨드릴과 반응시키는 단계는 등방성 에칭에 사용되는 에칭에 대하여 내성을 갖는 스페이서 재료를 형성하는 제조 방법.
  29. 제26항에 있어서,
    상기 재료 층을 등방성으로 에칭하는 단계는 H2O, H2O2 및 NH4OH로 에칭하는 단계를 포함하는 제조 방법.
  30. 제29항에 있어서,
    상기 프리-스페이서 재료는 티타늄이고, 상기 스페이서 재료는 티타늄 실리사이드인 제조 방법.
  31. 제26항에 있어서,
    상기 스페이서들에 대해 상기 맨드릴을 우선적으로 제거하는 단계를 더 포함하는 제조 방법.
  32. 제26항에 있어서,
    상기 스페이서들은 서브리소그래피 피처(sublithographic feature)들인 제조 방법.
  33. 제26항에 있어서,
    상기 스페이서들의 측부 상에 추가 스페이서 재료 층을 성막하는 단계를 더 포함하는 제조 방법.
  34. 제33항에 있어서,
    상기 추가 스페이서 재료 층을 이방성으로 에칭하여 스페이서들의 측벽들 상에 추가 스페이서들을 형성하는 단계를 더 포함하는 제조 방법.
  35. 제34항에 있어서,
    상기 추가 스페이서들 사이의 스페이서들을 선택적으로 제거하여 프리 스탠딩(free standing) 추가 스페이서들의 패턴을 남기는 단계를 더 포함하는 제조 방법.
  36. 부분적으로 제조된 집적 회로 상에 놓인 중간 마스크 패턴으로서,
    맨드릴 재료로 형성된 복수의 이격된 맨드릴;
    상기 맨드릴 각각의 상면 위에 놓인 캡 층;
    각각의 캡 층 위에 놓인 프리-스페이서 재료 층; 및
    상기 맨드릴 각각의 측부 상의 스페이서들
    을 포함하며,
    상기 스페이서들은 프리-스페이서 재료와 맨드릴 재료의 조합을 포함하며, 상기 프리-스페이서 재료는 인접하는 스페이서들 사이에 연장되는 중간 마스크 패턴.
  37. 제36항에 있어서,
    상기 캡 층은 산화규소 또는 질화규소를 포함하는 중간 마스크 패턴.
  38. 제36항에 있어서,
    상기 맨드릴은 실리콘을 포함하는 중간 마스크 패턴.
  39. 제36항에 있어서,
    상기 스페이서들은 금속 실리사이드(metal silicde)를 포함하는 중간 마스크 패턴.
  40. 제39항에 있어서,
    상기 금속 실리사이드의 금속은 탄탈륨, 하프늄 및 니켈로 이루어진 군으로부터 선택되는 중간 마스크 패턴.
  41. 제36항에 있어서,
    상기 스페이서들은 서브리소그래피 피처들인 중간 마스크 패턴.
  42. 제36항에 있어서,
    상기 상면의 폭은 약 120 nm보다 작은 중간 마스크 패턴.
  43. 제42항에 있어서,
    상기 폭은 약 80 nm보다 작은 중간 마스크 패턴.
  44. 제42항에 있어서,
    상기 스페이서들은 약 80 nm 미만으로 이격된 중간 마스크 패턴.
  45. 제44항에 있어서,
    상기 스페이서들은 약 50 nm 미만으로 이격된 중간 마스크 패턴.
KR1020087007859A 2005-09-01 2006-08-28 피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법 KR100967740B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/219,346 2005-09-01
US11/219,346 US7776744B2 (en) 2005-09-01 2005-09-01 Pitch multiplication spacers and methods of forming the same

Publications (2)

Publication Number Publication Date
KR20080045729A true KR20080045729A (ko) 2008-05-23
KR100967740B1 KR100967740B1 (ko) 2010-07-05

Family

ID=37719464

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087007859A KR100967740B1 (ko) 2005-09-01 2006-08-28 피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법

Country Status (7)

Country Link
US (3) US7776744B2 (ko)
EP (1) EP1929509A2 (ko)
JP (1) JP5041250B2 (ko)
KR (1) KR100967740B1 (ko)
CN (1) CN101297391B (ko)
TW (1) TWI328251B (ko)
WO (1) WO2007027686A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150065609A (ko) * 2013-12-05 2015-06-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 혼 형상 스페이서를 이용한 트렌치 형성
KR20170051518A (ko) * 2014-09-12 2017-05-11 어플라이드 머티어리얼스, 인코포레이티드 자기 정렬식 대체 핀 형성

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
KR100655082B1 (ko) * 2005-12-23 2006-12-08 삼성전자주식회사 상변화 메모리 소자 및 그 제조방법
US7439144B2 (en) * 2006-02-16 2008-10-21 International Business Machines Corporation CMOS gate structures fabricated by selective oxidation
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7790357B2 (en) * 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100817089B1 (ko) * 2007-02-28 2008-03-26 삼성전자주식회사 이중 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성방법
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
KR100876805B1 (ko) * 2007-05-14 2009-01-09 주식회사 하이닉스반도체 나노 임프린트 리소그라피 공정용 템플릿 및 이를 이용한 반도체 소자 제조 방법
US7794614B2 (en) 2007-05-29 2010-09-14 Qimonda Ag Methods for generating sublithographic structures
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7670905B2 (en) * 2007-09-07 2010-03-02 Micron Technology, Inc. Semiconductor processing methods, and methods of forming flash memory structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5160302B2 (ja) * 2008-05-19 2013-03-13 株式会社東芝 半導体装置の製造方法
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295785A (ja) * 2008-06-05 2009-12-17 Toshiba Corp 半導体装置の製造方法
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
US20110104901A1 (en) * 2008-06-13 2011-05-05 Tokyo Electron Limited Semiconductor device manufacturing method
US8404600B2 (en) 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8222159B2 (en) * 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8563439B2 (en) * 2010-07-23 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch dimension shrinkage
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
TWI414051B (zh) * 2011-05-05 2013-11-01 Macronix Int Co Ltd 半導體結構及其製造方法
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8541296B2 (en) * 2011-09-01 2013-09-24 The Institute of Microelectronics Chinese Academy of Science Method of manufacturing dummy gates in gate last process
US20130065397A1 (en) * 2011-09-12 2013-03-14 Vigma Nanoelectronics Methods to increase pattern density and release overlay requirement by combining a mask design with special fabrication processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US20140177102A1 (en) * 2012-12-21 2014-06-26 Seagate Technology Llc Multi-reader method and apparatus
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9129814B2 (en) * 2013-11-25 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US10490497B2 (en) 2014-06-13 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of conductor nanowires
US9412667B2 (en) * 2014-11-25 2016-08-09 International Business Machines Corporation Asymmetric high-k dielectric for reducing gate induced drain leakage
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US9779944B1 (en) 2016-09-13 2017-10-03 International Business Machines Corporation Method and structure for cut material selection
CN107968046B (zh) * 2016-10-20 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10510540B2 (en) * 2017-07-15 2019-12-17 Micromaterials Llc Mask scheme for cut pattern flow with enlarged EPE window
CN107731665B (zh) * 2017-11-13 2023-07-25 长鑫存储技术有限公司 用于间距倍增的集成电路制造
US10636667B2 (en) * 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
CN108538835B (zh) * 2018-05-16 2024-02-06 长鑫存储技术有限公司 电容器阵列结构及其制备方法
US10522395B1 (en) 2018-08-21 2019-12-31 Micron Technology, Inc. Methods of forming a pattern
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5248983Y2 (ko) 1973-06-26 1977-11-08
GB1527894A (en) * 1975-10-15 1978-10-11 Mullard Ltd Methods of manufacturing electronic devices
JPS5748237Y2 (ko) 1978-12-28 1982-10-22
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
JPS59107518U (ja) 1982-12-28 1984-07-19 松下電工株式会社 露出スイツチボツクス
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
DE3682395D1 (de) * 1986-03-27 1991-12-12 Ibm Verfahren zur herstellung von seitenstrukturen.
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPS6435916U (ko) 1987-08-28 1989-03-03
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
JPH0626202B2 (ja) * 1987-10-30 1994-04-06 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン パターン付け方法
JPH01119028A (ja) * 1987-10-30 1989-05-11 Nec Corp 半導体装置の製造方法
JPH01124219U (ko) 1988-02-15 1989-08-24
JPH03239332A (ja) * 1990-02-16 1991-10-24 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP3326709B2 (ja) * 1994-05-10 2002-09-24 ソニー株式会社 パターン形成方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
KR970007173B1 (ko) * 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5628917A (en) * 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5677217A (en) * 1996-08-01 1997-10-14 Vanguard International Semiconductor Corporation Method for fabricating a mosfet device, with local channel doping and a titanium silicide gate
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JPH10150027A (ja) * 1996-11-19 1998-06-02 Nittetsu Semiconductor Kk 半導体装置の微細レジストパターンの形成方法
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
KR100623587B1 (ko) * 2000-06-30 2006-09-12 주식회사 하이닉스반도체 반도체소자 및 그의 제조 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6548395B1 (en) * 2000-11-16 2003-04-15 Advanced Micro Devices, Inc. Method of promoting void free copper interconnects
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
JP2003077922A (ja) * 2001-09-05 2003-03-14 Tdk Corp 薄膜パターンの作製方法、及び、それを用いたマイクロデバイスの製造方法
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
KR100432881B1 (ko) * 2001-09-21 2004-05-22 삼성전자주식회사 강유전성 메모리 장치 및 그 제조방법
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2003188371A (ja) * 2001-12-17 2003-07-04 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
JP2003249437A (ja) * 2002-02-26 2003-09-05 Sony Corp パターン形成方法および半導体装置の製造方法
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
DE10246718A1 (de) 2002-10-07 2004-04-22 Infineon Technologies Ag Feldeffekttransistor mit lokaler Source-/Drainisolation sowie zugehöriges Herstellungsverfahren
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6764903B1 (en) 2003-04-30 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Dual hard mask layer patterning method
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
KR100563095B1 (ko) 2003-09-24 2006-03-27 동부아남반도체 주식회사 반도체 소자의 실리사이드 형성방법
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
TWI268609B (en) 2003-10-31 2006-12-11 United Microelectronics Corp Formation method of SiGe HBT
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
KR100641916B1 (ko) * 2004-11-18 2006-11-02 주식회사 하이닉스반도체 반도체소자의 저장전극 형성방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) * 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US20070037101A1 (en) * 2005-08-15 2007-02-15 Fujitsu Limited Manufacture method for micro structure
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150065609A (ko) * 2013-12-05 2015-06-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 혼 형상 스페이서를 이용한 트렌치 형성
KR20170051518A (ko) * 2014-09-12 2017-05-11 어플라이드 머티어리얼스, 인코포레이티드 자기 정렬식 대체 핀 형성

Also Published As

Publication number Publication date
CN101297391A (zh) 2008-10-29
JP5041250B2 (ja) 2012-10-03
US7776744B2 (en) 2010-08-17
US20070049030A1 (en) 2007-03-01
US20100267240A1 (en) 2010-10-21
WO2007027686A2 (en) 2007-03-08
WO2007027686A3 (en) 2007-05-03
US9099314B2 (en) 2015-08-04
EP1929509A2 (en) 2008-06-11
TW200721254A (en) 2007-06-01
CN101297391B (zh) 2011-03-02
TWI328251B (en) 2010-08-01
US20150325459A1 (en) 2015-11-12
JP2009507375A (ja) 2009-02-19
KR100967740B1 (ko) 2010-07-05

Similar Documents

Publication Publication Date Title
KR100967740B1 (ko) 피치 멀티플리케이션을 위한 스페이서들을 갖는 마스크패턴들 및 그의 형성 방법
KR100874196B1 (ko) 마스크 물질 변환
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
US8216949B2 (en) Method for integrated circuit fabrication using pitch multiplication
US7390746B2 (en) Multiple deposition for integration of spacers in pitch multiplication process
JP4945740B2 (ja) フォトリソグラフィー構造よりも狭いピッチを有するパターン
KR101368544B1 (ko) 간이화한 피치 더블링 프로세스 플로우

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160527

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170530

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 10