KR20080025675A - 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치 - Google Patents

금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치 Download PDF

Info

Publication number
KR20080025675A
KR20080025675A KR1020077028920A KR20077028920A KR20080025675A KR 20080025675 A KR20080025675 A KR 20080025675A KR 1020077028920 A KR1020077028920 A KR 1020077028920A KR 20077028920 A KR20077028920 A KR 20077028920A KR 20080025675 A KR20080025675 A KR 20080025675A
Authority
KR
South Korea
Prior art keywords
protective film
film structure
layer
metal member
film
Prior art date
Application number
KR1020077028920A
Other languages
English (en)
Other versions
KR101322549B1 (ko
Inventor
다다히로 오미
야스유키 시라이
히토시 모리나가
야스히로 가와세
마사후미 기타노
후미카즈 미즈타니
마코토 이시카와
유키오 기시
Original Assignee
고쿠리츠다이가쿠호진 도호쿠다이가쿠
미쓰비시 가가꾸 가부시키가이샤
가부시키가이샤 니혼 세라떽꾸
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 고쿠리츠다이가쿠호진 도호쿠다이가쿠, 미쓰비시 가가꾸 가부시키가이샤, 가부시키가이샤 니혼 세라떽꾸 filed Critical 고쿠리츠다이가쿠호진 도호쿠다이가쿠
Publication of KR20080025675A publication Critical patent/KR20080025675A/ko
Application granted granted Critical
Publication of KR101322549B1 publication Critical patent/KR101322549B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/80After-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/06Anodisation of aluminium or alloys based thereon characterised by the electrolytes used
    • C25D11/08Anodisation of aluminium or alloys based thereon characterised by the electrolytes used containing inorganic acids
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/06Anodisation of aluminium or alloys based thereon characterised by the electrolytes used
    • C25D11/10Anodisation of aluminium or alloys based thereon characterised by the electrolytes used containing organic acids
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Laminated Bodies (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

반도체 또는 평판 디스플레이 등의 제조 장치의 처리실 내벽 등에 대한 반응 생성물 퇴적, 내벽 등의 부식에 의한 금속 오염, 방출 가스에 의한 프로세스의 변동 등을 억제한 복수의 프로세스를 가능하게 하는 다기능 제조 장치 시스템 및 거기에 이용되는 보호 피막 구조를 제공한다. 금속 재료의 표면에, 하지층으로서 모재의 직접 산화에 의해 형성된 1μ 이하의 막 두께인 산화물 피막을 갖는 제 1 피막층을 갖고, 추가로 200㎛ 정도의 제 2 피막층을 형성한다. 이들의 구성에 의해, 이온이나 라디칼의 조사에 대한 내식성을 제 2 층째의 보호막에 갖게 하여, 분자나 이온이 2 층째 보호막 중을 확산하는 것에 의해 모재 금속 표면을 부식시키는 것을 방지하는 보호층의 효과를 제 1 층째 산화물 피막에 갖게 할 수 있고, 각 금속 부재, 프로세스 챔버 내 표면에서 발생하는 기판에 대한 금속 오염을 저감시킨다. 모재와 2 층째의 보호막 계면의 부식에 의한 2 층째 보호막 밀착력의 저하에 의한 2 층째 보호막의 박리를 억제할 수 있다.
금속 부재의 보호막

Description

금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속 부품 그리고 보호막 구조를 이용한 반도체 또는 평판 디스플레이 제조 장치 {PROTECTIVE FILM STRUCTURE OF METAL MEMBER, METAL COMPONENT EMPLOYING PROTECTIVE FILM STRUCTURE, AND EQUIPMENT FOR PRODUCING SEMICONDUCTOR OR FLAT-PLATE DISPLAY EMPLOYING PROTECTIVE FILM STRUCTURE}
본 발명은, 반도체 또는 평판 디스플레이 제조 분야 등에 있어서 이용되는 플라즈마 처리에 의한 화학 기상 퇴적 (CVD) 이나 반응성 이온 에칭 (RIE) 등의 기판 처리 장치에 관하여, 특히, 프로세스 과정에 있어서의 프로세스 챔버 내벽 등 프로세스 유체와 접하는 영역에 있어서의 반응 생성물 퇴적, 부식에 의한 금속 오염 등을 억제할 수 있는 박막 형성이나 에칭 처리에 적절한 처리 장치 및 이들 처리 장치에 사용되는 보호막 구조에 관한 것이다.
종래의 반도체 생산 방식은 DRAM 등의 메모리 제조로 대표되는 소품종 대량생산 방식이 주였다. 수 천억원이라는 대규모 투자로 월 생산 수 만매라는 기판을 처리 가능한 규모이다. 그러나, 정보 가전용의 시스템 LSI 와 같은 생애 생산량이 매우 적은 제품에서도 충분한 이익을 내는 단계 투자형의 소규모 반도체 생산 방식의 확립이 강하게 요망되고 있다. 현재의 반도체 제조 장치는 단기능 이기 때문에, 필연적으로 장치 대수 증대, 투자액의 고등 (高騰) 을 초래하여, 소규모 라인을 전혀 구축할 수 없는 상황이다. 1 대의 기판 처리 장치로 복수의 프로세스를 처리하지 않으면 소규모 생산 라인의 실현은 어려운 상황이다.
또 300㎜Ф 나 미터 각의 대구경 기판의 면 내에서 균일한 CVD 프로세스를 처리하기 위해, 기판 바로 윗쪽에 가스의 분출구가 형성된 샤워 헤드를 프로세스 챔버 내에 설치함으로써, 기판 표면에 대한 균일한 가스의 확산을 촉구하는 예가 늘어나고 있다. 또한, 샤워 헤드를 금속 재료로 제작함으로써, 샤워 헤드 자신을 그라운드면으로 하여 처리 기판측에 셀프 바이어스를 발생시켜 RIE 를 실시하는 것도 가능해진다. 그러한 금속성 샤워 헤드를 설치함으로써 복수의 프로세스를 1 대의 프로세스 챔버에서 처리할 수 있는 장치가 제작 가능해진다.
동일 기판 처리실에서 잇달아 가스 종류를 전환하여 상이한 프로세스를 처리해 나가는 경우에는, 가스 공급 샤워 헤드를 포함한 챔버 내를 구성하는 재료가 중요한 요소의 하나가 된다. CVD 나 RlE, 산화, 질화 등의 프로세스 등을 하나의 기판 처리실에서 실시하기 때문에, 프로세스마다 챔버를 초기 상태로 리셋하기 위한 클리닝 공정이 매우 중요해진다. 클리닝 가스는 플라즈마 클리닝, 플라즈마리스 클리닝 모두 불소계의 가스가 주로 사용되고 있고, 그 때에는 프로세스 챔버나 배기계 등 250 ∼ 500℃ 의 프로세스시의 온도를 유지한 상태에서 실시하는 것이 생산상 바람직하다. 그러나 그러한 온도 하에서 구성되는 금속 재료의 부식 발생은 파할 수 없어, 처리 기판 표면에서의 금속 오염의 원인을 야기시키고 있었다. 또 RIE 에서는 에칭 가스로서 불소계의 가스뿐만 아니라 염소계의 가스도 메탈 재료의 가공에서 사용하기 때문에, RIE 장치에서의 Al 합금이나 스테인리스 등의 금속 재료의 표면 처리는 필요 불가결하다. 예를 들어 Al 합금인 경우, 종래에는 산성계의 화성액에 의해 양극 산화를 실시하여, 수십 ㎛ 의 포러스상의 두꺼운 알루미늄 산화 피막을 형성하는 알루마이트 처리가 일반적인 방법이었다. 그러나 이 알루마이트 피막은 포러스 구조이므로 실효 표면적이 매우 크고, 대량의 수분 및 유기물 방출 가스가 발생하여 프로세스시의 컨테미네이션 발생이나, 메인터넌스 후, 진공 장치 시작시에 진공도가 좀처럼 오르지 않는다는 다운 타임의 장기화가 문제가 되고 있었다.
본 발명의 목적은, 반도체 또는 평판 디스플레이 제조 분야 등에서 이용되는 플라즈마 프로세스를 이용한 기판 처리 장치의 내벽면 등에 대한 반응 생성물 퇴적, 내벽면 등의 부식에 의한 금속 오염, 방출 가스에 의한 프로세스의 변동 등을 억제할 수 있는 내식성이 우수한 표면 보호 피막 구조를 제공하는 것에 있다.
본 발명의 목적은, 반도체 또는 평판 디스플레이 제조 분야 등에서 이용되는 플라즈마 프로세스에 의한 기판 처리 장치에 관한 것으로, 처리 장치 내벽면 등에 대한 반응 생성물 퇴적, 내벽면 등의 부식에 의한 금속 오염, 방출 가스에 의한 프로세스의 변동 등을 억제한 복수의 프로세스를 가능하게 하는 제조 장치를 제공하는 것이다.
본 발명에 의하면, 반도체 등의 제조 장치에 사용하는 금속 부재의 보호막 구조로서, 모재 금속의 직접 산화에 의해 형성된 산화물 피막을 갖는 제 1 피막층과, 제 1 피막층과는 상이한 재료로 이루어지는 제 2 피막층을 갖는 것을 특징으로 하는 금속 부재의 보호막 구조가 얻어진다.
상기 모재 금속의 표면은 제 1 피막층의 형성 전에 블라스트 처리하는 것이 바람직하다.
상기 제 1 피막층은 금속의 열 산화에 의해 형성된 산화물 피막이다.
또한, 상기 제 1 피막층은 pH4 ∼ pH10 의 유기계 화성액으로 이루어지는 전해 질 용액에 의해 양극 산화를 실시하여 형성된 산화물 피막이어도 된다.
또한, 상기 제 1 피막층은 pH4 ∼ pH10 의 무기 화성액으로 이루어지는 전해질 용액에 의해 양극 산화를 실시하여 형성된 산화물 피막이어도 된다.
상기 제 1 피막층은, 막 두께 10㎚ 이상에서 1 미크론 (㎛) 이하인 것이 바람직하다.
상기 제 2 피막층은 플라즈마 용사법에 의해 형성된 산화 알루미늄, 산화 이트륨, 산화 마그네슘 및 이들의 혼정 중 어느 하나로 이루어지는 피막이다. 상기 제 2 피막층은 200㎛ 정도인 것이 바람직하다.
상기 제 2 피막층은 NiP 도금, Ni 도금, Cr 도금 중 하나로 이루어지는 피막을 이용할 수도 있다.
또한, 상기 제 2 피막층은 불소 수지 코팅에 의해 형성된 불소 수지 피막을 이용할 수도 있다.
본 발명에 의하면, 또한, 상기 특징의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치용 가스 공급 샤워 헤드가 얻어진다.
나아가, 본 발명에 의하면, 상기 특징의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치용 금속 부품이 얻어진다.
본 발명에 의하면, 상기 특징의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치가 얻어진다. 바람직하게는, 상기 특징의 보호막 구조는, 반도체 또는 평판 디스플레이 제조 장치의 처리실 내벽에 사용된다.
보다 구체적으로는, 프로세스 챔버 내에 설치한 가스 공급용 하단 샤워 플레이트 (샤워 헤드라고도 한다), 프로세스 챔버의 내 표면 등에 사용되는 금속 재료의 표면에, 하지층으로서 모재의 직접 산화에 의해 형성된 1μ 이하의 막 두께인 산화물 피막을 갖는 제 1 피막층을 갖고, 산화 알루미늄, 산화 이트륨, 산화 마그네슘 및 이들의 혼정 중 어느 하나로 이루어지는 200㎛ 정도의 제 2 피막층이 형성된다. 이들의 구성에 의해, 이온이나 라디칼의 조사에 대한 내식성을 제 2 층째의 보호막에 갖게하고, 분자나 이온이 2 층째 보호막 중을 확산함으로써 모재 금속 표면을 부식시키는 것을 방지하는 보호층의 효과를 제 1 층째의 산화물 피막을 갖게 할 수 있어, 각 금속 부재, 프로세스 챔버 내 표면으로부터 발생하는 기판에 대한 금속 오염을 저감시킨다. 1 층째 보호막과 2 층째 보호막의 계면의 부식에 의해, 2 층째 플라즈마 용사 보호막이 벗겨지는 문제를 해결할 수 있다.
본 발명에 의하면, 내식성이 우수한 표면 보호 피막을 반도체 또는 평판 디스플레이 제조 장치의 처리실의 내 표면에 형성하고, 기판 처리 실내로부터 기판 표면에 대한 금속 오염, 배기 펌프, 배기계 배관, 배기 밸브의 부식에 의한 장치 정지·가동률의 저하를 억제할 수 있다.
또한, 반도체 또는 평판 디스플레이 제조 장치 처리실 내벽 등에 대한 프로세스 가스의 해리에 의한 반응 생성물의 퇴적을 억제할 수 있고, 또한 제조 장치를 실온보다 높은 온도에서 가온해 둠으로써 부반응 생성물의 내 표면에 대한 퇴적을 억제할 수 있다.
1 개의 기판 처리실에서 수 종류의 프로세스를 공유하는 것이 가능한 단계 투자형의 반도체 또는 평판 디스플레이 생산 방식을 실현하는 다기능 제조 장치의 실현이 가능해진다.
도 1 은 본 발명의 보호막 금속 재료의 구조도를 나타낸다.
도 2 는 본 발명의 보호막 금속 재료를 이용한 반도체 제조 장치의 모식도이다.
도 3 은 본 발명의 보호막 금속 재료의 NF3 플라즈마 조사 후의 표면 SEM 관찰상을 나타낸다.
도 4 는 본 발명의 보호막 금속 재료의 APIMS 측정에 의한 수분 고갈 특성을 나타낸다.
도 5 는 본 발명의 보호막 금속 재료의 300℃, 12 시간 온도 인가 후의 표면 SEM 관찰상을 나타낸다.
도 6 은 본 발명의 보호막 금속 재료의 염소 가스 폭로 후 상태를 나타낸다.
도 7 은 도 2 에 나타내는 반도체 제조 장치의 하단 샤워 플레이트의 평면도이다.
이하에, 본 발명의 실시 형태에 대해 서술한다.
도 1 은, 본 발명의 보호막 구조를 나타내고, 그 구조는, 모재 금속 (1) 의 표면에 모재의 직접 산화에 의해 형성된 산화물 피막을 갖는 제 1 피막층 (2) 과, 그 위에 형성된 제 1 피막층과는 상이한 재료로 이루어지는 제 2 피막층 (3) 으로 이루어진다. 여기에서, 상이한 재료란, 산화 알루미늄과 산화 이트륨 등과 같이 상이한 화합물인 경우 외에, 모재 금속인 알루미늄을 직접 산화시켜 얻어진 산화 알루미늄막과 산화 알루미늄 입자로부터 용사에 의해 얻어진 산화 알루미늄막과 같이, 유래가 상이한 재료인 경우를 포함한다.
이 보호막의 구조에 대해, 마이크로 플라즈마 처리 장치에 적용한 경우에 대해, 구체적으로 설명한다.
도 2 는, 본 발명에 관련되는 반도체·평판 디스플레이 제조 장치인 마이크로파 플라즈마 처리 장치 (10) 의 구성을 나타낸다.
상기 도면에 있어서, 제조 장치의 프로세스 챔버는, CVD 나 RIE, 산화, 질화 등의 복수의 프로세스가 처리 가능한 마이크로파 여기 플라즈마 프로세스용 챔버로서, 처리용 챔버 (진공 용기) (11) 내에, 일정하게 분출구가 열린 세라믹스제 상단 가스 공급구를 갖는 상단 샤워 플레이트 (14) 및 하단으로부터의 가스 공급구인 금 속제 격자상 원반의 하단 샤워 플레이트 (처리 가스 공급 구조) (31) 가 배치되어 있다. 이 처리 장치의 상세한 것은 후술한다.
하단 처리 가스 공급 구조 (31) 가, Al 합금인 경우, 구조물용 Al 합금으로서 기계적인 강도를 갖게 하는 관점에서, Mg 가 1 ∼ 4.5% 첨가된 재료인 것이 바람직하다. 또는 열 인가시의 강도 열화의 염려로부터 추가로 Zr 을 0.1 ∼ 0.5% 첨가한 재료인 것이 보다 바람직하다.
알루미늄을 주성분으로 하는 금속의 경우, pH4 ∼ 10 의 화성액 중에서 양극 산화되어 금속 산화물막을 얻을 수 있다. 화성액은, 붕산, 인산 및 유기 카르복실산 그리고 그들의 염으로 이루어지는 군에서 선택되는 적어도 1 종을 함유하는 것이 바람직하다. 또 화성액이 비수용매를 함유하는 것이 바람직하다. 또한, 양극 산화의 후 100℃ 이상에서 가열 처리되는 것이 바람직하다. 예를 들어 100℃ 이상의 가열로 중에서 어닐링 처리할 수 있다.
구체적으로는, Al 합금성 격자상 원반 (31) 의 접가스 표면의 제 1 피막층은 pH7 로 제어된 유기계 화성액으로 이루어지는 전해질 용액에 의해 양극 산화를 실시하여 형성된 500㎚ 두께의 무결함 산화 알루미늄 피막이다.
또 무결함 산화 알루미늄 피막은 산화성 가스 분위기 중에서 실온보다 높은 온도에서 열처리를 실시하는 것이 바람직하고, 100℃ 이상의 산화성 가스 분위기 중에서 열처리를 실시하는 것이 보다 바람직하다.
표면으로부터의 방출 수분량은 APIMS 분석 장치에 의한 측정에 있어서, 실온으로부터 온도를 인가해 나가고, 그 후 300℃ 에서 2 시간 유지했을 때에 표면으로 부터 이탈한 총 수분량은 1 × 10-3 Pa·㎥/sec 이하, 방출 유기물 분자의 질량수가 200 이하이다.
본 발명에 있어서, 본 프로세스 챔버의 재질로는 알루미늄 합금이 바람직하지만, 스테인리스강도 적용된다. 스테인리스강으로는, 오스테나이트계, 페라이트계, 오스테나이트·페라이트계 및 마텐자이트계 스테인리스강이 사용 가능하지만, 예를 들어 오스테나이트계 SUS304, SUS304L, SUS310S, SUS316, SUS316L, SUS317, SUS317L 등이 바람직하게 사용된다. 또 스테인리스강의 경우, 표면은, 일본 공개특허공보 평7-233476호, 일본 공개특허공보 평11-302824호에 기재된 산화성 분위기 가스 중에서 열처리를 실시하는 것에 의해, 산화성 부동태막을 형성한다. 예로서 산화 알루미늄의 형성 조건은, 산소 혹은 수분을 함유하는 산화성 가스에 알루미늄 함유 스테인리스강에 접촉시켜 산화 알루미늄 부동태막을 형성한다.
산소 농도는, 0.5ppm ∼ 100ppm, 바람직하게는 1ppm ∼ 50ppm 이고, 또 수분 농도는, 0.2ppm ∼ 50ppm, 바람직하게는 0.5ppm ∼ 10ppm 이다. 또한, 산화성 가스 중에 수소를 함유하는 산화성 혼합 가스이어도 된다. 산화 처리 온도는 700℃ ∼ 1200℃, 바람직하게는 800℃ ∼ 1100℃ 이다. 산화 처리 시간은 30 분 ∼ 3 시간이다.
본 제 1 피막층 상에 추가로 플라즈마 용사에 의해 200㎛ 두께의 산화 이트륨이 형성된 제 2 피막층이 형성된다.
산화 이트륨 피막은, 플라즈마 용사시에 이트리아 분말 원료의 용해가 충분히 실시되도록, 플라즈마 용사 장치에서 원료의 투입 위치를 플라즈마 발생부에 공급하는 구조로 하고, 원료의 용융을 충분히 실시하고 있다. 게다가, 산소 가스 첨가한 희가스를 플라즈마 가스로서 사용함으로써 고출력화에 의한 원료 용융성 향상에 의해 치밀도를 높이고 있다. 또 원료 이트륨 분말의 입도를 균일화시키고, 용융성 향상에 의한 이트리아 용사막의 공극 저감도 실시했다. 또한 이트리아 분말 원료의 순도를 향상시켜, 막 중의 불순물도 충분히 저감시키고 있다. 이들의 결과, 이트리아 용사막의 밀착력은 종래의 플라즈마 용사막의 2 배 이상의 값을 나타내었다. 본 플라즈마 용사 이트리아 보호막을 처리용 챔버 (진공 용기) (11) 내의 처리실 내벽 등, Al 합금성 격자상 원반 (31) 의 제 1 피막의 상층에 용사하고 있다.
본 반도체·평판 디스플레이 제조 장치 시스템의 장치 내 표면 온도는 반응 생성물 퇴적량 억제 효과의 관점에서, 실온 이상으로 가온해 두면 효과가 커진다. 바람직하게는 150℃ ∼ 200℃ 로 하면 효과가 더욱 커진다. 본 제 1 피막층, 제 2 피막층도 300℃ 이하의 온도 하에서는 종래의 수십 ㎛ 의 막 두께를 형성하고 있는 다공질의 알루마이트 피막에서 관찰되었던 부동태막의 표면 분열은 나타나지 않는다. 이 때문에 크랙 부분으로부터의 부식의 발생이라는 문제도 없어진다.
또 프로세스가 한정되는 경우 등은, 제 2 층 부동태막은 NiP 도금, Ni 도금, Cr 도금 중 하나로 이루어지는 표면 처리이어도 되고 또한 제 2 층 부동태막은 PTFE, PFA, FEP, ETFE 라는 불소 수지 코팅 피막 중 하나로 이루어지는 표면 처리이어도 된다.
이하에 본 발명의 실시예를 설명한다. 또한, 당연한 일이지만, 본 발명은 이하의 실시예로 한정되는 것은 아니다.
또한, 이하의 실시예 및 비교예에 있어서의 분석 조건은 하기와 같다.
(분석 조건 1) 주사형 전자현미경 (이하, 「SEM 분석」으로 약기한다.)
장치 : 닛폰전자 제조 JE6700
(분석 조건 2) 푸리에 변환 적외 분광 분석 (이하, 「FT-IR 분석」으로 약기한다.)
장치 : 데지라보 쟈판
(분석 조건 3) 대기압 이온화 질량 분석 (이하, 「APIMS 분석」으로 약기한다.)
장치: 르네사스히가시일본 제조 UG-302P
본 실시예에서는, 알루미늄이 JIS 규격 A5052 재를 이용하고, 타르타르산 및 에틸렌글리콜은 와코쥰야쿠 공업 (주) 제조의 시약 특급을, 암모니아수는 미츠비시 화학 (주) 제조의 EL 약품 그레이드를 각각 이용했다.
양극 산화는, 소스 미터 (KEITHLEY 제조 2400 시리즈) 를 이용하여, 순백금판을 캐소드 전극으로서 화성액 온도를 23℃ 로 조정하여 실시했다. 양극 산화 후에 석영관의 적외선 가열로 (이하, 「IR 로」로 약기한다.) 중에서, 질소 / 산소 = 80 / 20 (vol 비) 조성의 가스를 5L/min 의 유속에서 흘리면서, 소정의 온도에 서 1 시간 어닐링 처리를 실시한다.
물 39.5g 에 타르타르산 1.8g 을 용해시킨 후, 에틸렌 글리콜 (EG) 158g 을 첨가하여 교반 혼합했다. 이 용액을 교반하면서 용액의 pH 가 7.1 이 될 때까지 29% 암모니아수를 첨가하여 화성액 (a) 을 조제했다. 이 화성액 중에서 20 × 8 × 1㎜ 의 A5052 알루미늄 시료편을 화성 전압 50V 까지 1㎃/㎠ 의 정전류에서 화성하고, 50V 에 이른 후, 정전압에서 30 분간 유지하여 양극 산화를 실시했다. 반응 후, 순수로 충분히 세정한 후, 실온에서 건조시켰다. 얻어진 양극 산화막 부착 알루미늄 시료편을 IR 로 중 300℃ 에서 1 시간 어닐링 처리한 후, 대기 개방하여 실온에서 48 시간 방치했다.
산화 이트륨 피막은, 플라즈마 용사시에 이트리아 분말 원료의 용해가 충분히 실시될 수 있도록, 플라즈마 용사 장치에서 원료의 투입 위치를 플라즈마 발생부에 공급하는 구조로 하여, 원료의 용융을 충분히 실시했다. 또한 10% 산소 가스 첨가한 아르곤 가스를 플라즈마 가스로서 사용하고, 출력 60㎾ 에서 이트리아 용사막을 형성했다. 원료 이트륨 분말은 입도가 10㎛ 사양인 것을 이용했다. 이로써 용융성 향상에 의한 이트리아 용사막의 공극 저감을 실시하고 있다. 또한 이트리아 분말 원료의 순도를 향상시켜, 막 중의 불순물 원소도 수 ppm 레벨까지 저감되고 있다. 이들의 결과, 이트리아 용사막의 밀착력은 종래의 플라즈마 용사막의 2 배 이상인 14MPa 의 값을 나타내었다. 본 플라즈마 용사 이트리아 보호막을 상기 양극 산화에 의해 형성된 무결함 산화 알루미늄 보호막인 제 1 피막 상층에 용사하고 있다.
(특성의 평가 1 - 플라즈마 조사 후의 표면의 평가)
상기와 같이 하여 제작한 하지층으로서 유기계 화성액에 의한 양극 산화에 의해 형성된 1μ 이하의 막 두께인 무결함 산화 알루미늄 피막을 갖는 제 1 피막층, 플라즈마 용사에 의해 산화 이트륨이 형성된 제 2 피막층이 실시된 시료편을 마이크로파 여기의 고밀도 플라즈마 챔버 내에 설치하고 NF3 : Ar = 1 : 1 의 분압비에서 시료 온도 300℃, 챔버압 50mTorr 에서 1 시간의 플라즈마 조사를 실시했다.
도 3 은 플라즈마 조사 전후의 시료 표면의 SEM 관찰상을 나타낸다. 표면 상태에 변화는 없고 매우 안정적인 피막인 것을 알 수 있다.
아모퍼스실리콘이나 실리콘 산화막, 실리콘 질화막 등의 막을 300℃ 에서 막 형성한 후에 챔버 클리닝을 실시할 때, 기판 스테이지의 온도를 낮추지 않고 클리닝을 실시하는 것이 양산기에는 요구되어 있다. 알루마이트와 같은 종래의 표면 처리에서는 클리닝시에 온도를 낮추지 않으면 부식에 의한 금속 오염의 발생을 피할 수 없었다. 본 발명의 2 층 구조 부동태 피막에서는 마이크로파 여기 고밀도 플라즈마 장치의 챔버 내와 같은 온도가 인가되는 부위에 있어서도 그러한 염려가 적은 것이 확인되었다.
(특성의 평가 2 - 이탈 수분량의 평가)
동일하게 상기와 같이 하여 제작한 하지층으로서 유기계 화성액에 의한 양극 산화에 의해 형성된 1μ 이하의 막 두께인 무결함 산화 알루미늄 피막을 갖는 제 1 피막층, 플라즈마 용사에 의해 산화 이트륨이 형성된 제 2 피막층이 실시된 시료편에 대해 이탈 수분량을 계측했다.
도 4 에 이탈 수분량을 APIMS 에서 계측한 데이터를 나타낸다. 비교재로서, 황산 화성액으로 양극 산화를 실시한 다공질의 알루마이트 샘플의 이탈 수분량을 나타내고 있다. 가로축은 APIMS 에서의 계측 시간, 세로축의 제 1 축은 단위 면적당 방출 수분량, 제 2 축은 측정시의 온도 프로파일이다.
샘플의 온도는 실온 상태에서 10 시간 방치하고, 그 후 200℃ 까지 1℃/min에서 승온하여, 2 시간 유지시켜 강온하고 있다. 다공질의 알루마이트 표면으로부터의 이탈 수분량은 실온에서 APIMS 의 측정 상한 부근에서 추이했기 때문에, 샘플의 승온은 실시하지 않았다. 실온 하에서 방출해 온 수분량을 적산한 결과, 1 × 1019분자/㎠ 라는 대량의 방출 수분이 알루마이트 표면으로부터 발생해 오는 것을 알 수 있다. 이에 대하여, 본 발명의 2 층 구조 플라즈마 용사 샘플에서는 200℃ 에서 2 시간의 온도를 인가하여 방출해 온 수분량은, 1 × 1018분자/㎠ 로 한자리 수 낮은 방출 수분량을 나타내어, 보다 수분 고갈 특성이 우수한 것을 알 수 있다. 감압 하에서의 프로세스에서는 챔버 내에서의 방출 수분량의 대소가 프로세스 결과에 큰 영향을 준다. 또 챔버 메인터넌스 후의 시작시의 방출 가스에 의해 다운 타임이 커져 생산성에 악영향을 미친다. 방출 수분량이 많은 표면에서는 이러한 문제를 피할 수 없다. 대면적 기판을 처리하는 장치에서는 더욱 더 그러하다.
본 발명의 2 층 구조 부동태 피막에서는 마이크로파 여기 고밀도 플라즈마 장치의 챔버 내와 같은 온도가 인가되는 장소에 있어서도 그러한 문제를 회피하는 것이 가능하다.
(특성의 평가 3 - 가열 후의 분열의 평가)
동일하게 제작한 하지층으로서 유기계 화성액에 의한 양극 산화에 의해 형성된 1μ 이하의 막 두께인 무결함 산화 알루미늄 피막을 갖는 제 1 피막층, 플라즈마 용사에 의해 산화 이트륨이 형성된 제 2 피막층이 실시된 시료편에 대해 온도를 인가했을 때의 분열 특성을 평가했다. 도 5 에 그 데이터를 나타낸다. 비교 대조 시료로서 황산 알루마이트 처리 샘플의 분열 특성을 조사했다. 300℃ 인가시의 표면 상태도 함께 나타내고 있다.
황산 알루마이트층은 균열이 발생하고 있는 것을 알 수 있다. 이에 대하여, 본 발명의 2 층 부동태 피막은 300℃ 인가시에도 용사막에 분열 등의 형적이 전혀 보이지 않는다. 황산 알루마이트에서는 이러한 분열의 부분으로부터 할로겐 가스 등의 침입을 허락하여, 부식의 요인을 야기시키고 있다. 본 발명의 2 층 구조 부동태 피막에서는 마이크로파 여기 고밀도 플라즈마 장치의 챔버 내와 같은 온도가 인가되는 장소에서도 그러한 염려가 전혀 없는 것이 확인되었다.
(특성의 평가 4 - 염소 가스 폭로에 의한 밀착성의 평가)
동일하게 제작한 하지층으로서 유기계 화성액에 의한 양극 산화에 의해 형성된 1μ 이하의 막 두께인 무결함 산화 알루미늄 피막을 갖는 제 1 피막층, 플라즈마 용사에 의해 산화 이트륨이 형성된 제 2 피막층이 실시된 시료편에 대해 염소 가스 폭로에 의한 밀착성의 평가를 실시했다. 표 1 에 염소 가스 폭로했을 때의 밀착성이나 분열 특성을 평가한 데이터를 나타낸다.
모재 : A6061 밀착력 ※/MPa
용사막 양극 산화 폭로 전 폭로 후
Y2O3 14 12
14 (박리)
Al2O3 14 10
20 (박리)
※ JIS H8666 에 준거
본 밀착성의 평가는 JIS 규격 H8666 에 준거한다. 비교 대상 시료로서 무구 (無垢) 한 Al 합금 표면에 플라즈마 용사에 의해 산화 알루미늄, 산화 이트륨으로부터 이루어지는 피막층이 형성된 시료편을 염소 가스 폭로했을 때의 밀착성을 조사했다. 염소 가스에 폭로했을 때의 조건은, 100% Cl2, 0.3MPa 봉지, 100℃ × 24 시간 폭로로 했다.
염소 가스 폭로 후의 플라즈마 용사막 상태를 도 6 에 나타낸다.
무결함 양극 산화 피막을 하지층에 형성한 시료에서는 플라즈마 용사막의 박리는 관찰되지 않았는데 비하여, 무구한 Al 표면에 플라즈마 용사를 실시한 샘플에서는 플라즈마 용사 피막이 모재보다 박리하고 있는 것을 알 수 있다.
밀착력에 있어서는, 무결함의 양극 산화 피막이 형성된 산화 이트륨, 산화 알루미늄 양극 산화막은 초기의 밀착력에 대해서 1 ∼ 2 할 정도 밀착력이 저감되어 있는 것을 알 수 있지만 실용상 문제가 없는 밀착력을 유지하고 있다. 이러한 플라즈마 용사막의 박리는 기판 상에 대한 오물 부착에 의한 수율 저하라는 심각한 문제를 일으킨다. 본 발명의 2 층 구조 부동태 피막에서는 마이크로파 여기 고밀도 플라즈마 장치의 챔버 내와 같은 온도가 인가되는 장소에 있어서도 그러한 염려가 전혀 없는 것이 확인되었다.
다시, 도 2 를 참조하여, 본 발명의 보호 피막 구조가 적용되는 마이크로파 플라즈마 처리 장치 (10) 에 대해 설명한다. 마이크로파 플라즈마 처리 장치는 일본 공개특허공보 2002-299331호에서 알려진 것이지만, 본 발명에서는 그 처리 장치에 본 발명의 보호 피막 구조를 사용한다.
도 2 의 (A) 를 참조하면, 마이크로파 플라즈마 처리 장치 (10) 는 처리 용기 (프로세스 쳄버) (11) 와 처리 용기 (11) 내에 설치되고, 피처리 기판 (12) 을 정전 척에 의해 유지하는 바람직하게는 열간 등방압 가압법 (HIP) 에 의해 형성된 AlN 혹은 Al2O3 에 의해 이루어지는 유지대 (13) 를 포함하고, 처리 용기 (11) 내에는 유지대 (13) 를 둘러싸는 공간 (11A) 에 등간격으로, 즉 유지대 (13) 상의 피처리 기판 (12) 에 대해서 대략 축대칭인 관계에서 적어도 2 지점, 바람직하게는 3 지점 이상으로 배기 포트 (11a) 가 형성되어 있다. 처리 용기 (11) 는, 배기 포트 (11a) 를 개재하여 부등 피치 부등 경사각 스크류펌프에 의해, 배기·감압된다.
처리 용기 (11) 는 바람직하게는 Al 을 주성분으로 하는 Al 합금으로 이루어지고, 내벽면은, 유기계 화성액으로 이루어지는 전해질 용액에 의한 양극 산화에 의해 제 1 피막층으로서 무결함의 산화 알루미늄 피막이 형성되어 있다. 또한, 산화 알루미늄 피막의 표면에 제 2 피막층으로서, 플라즈마 용사법에 의해 형성된 산화 이트륨막이 형성되어 있다. 또 처리 용기 (11) 의 내벽 중 피처리 기판 (12) 에 대응하는 부분에는, HIP 법에 의해 형성된 치밀한 Al2O3 로 이루어지는 다수의 노즐 개구부 (14A) 가 형성된 디스크상의 샤워 플레이트 (14) 가, 내벽의 일부로서 형성된다.
샤워 플레이트 (14) 상에는 동일한 HIP 처리에 의해 형성된 치밀한 Al2O3 로 이루어지는 커버 플레이트 (15) 가, 시일링을 개재하여 형성되어 있다. 샤워 플레이트 (14) 의 커버 플레이트 (15) 와 접하는 측에는 노즐 개구부 (14A) 의 각각에 연통하여 플라즈마 가스 유로 (14B) 가 형성되어 있고, 플라즈마 가스 유로 (14B) 는 샤워 플레이트 (14) 의 내부에 형성되어, 처리 용기 (11) 의 외벽에 형성된 플라즈마 가스 입구 (11p) 에 연통하는 다른 플라즈마 가스 유로 (14C) 에 연통하고 있다.
샤워 플레이트 (14) 는 처리 용기 (11) 의 내벽에 형성된 연장부 (11b) 에 의해 유지되어 있고, 연장부 (11b) 중, 샤워 플레이트 (14) 를 유지하는 부분은 이상 방전을 억제하기 위해서 둥글게 형성되어 있다.
거기서, 플라즈마 가스 입구 (11p) 에 공급된 Ar 이나 Kr 등의 플라즈마 가스는 샤워 플레이트 (14) 내부의 유로 (14C) 및 (14B) 를 순차 통과한 후, 개구부 (14A) 를 개재하여 샤워 플레이트 (14) 바로 아래의 공간 (11B) 중에 일정하게 공급된다.
커버 플레이트 (15) 상에는, 커버 플레이트 (15) 에 밀접하여 도 2 의 (B) 로 나타내는 다수의 슬롯 (16a,16b) 이 형성된 디스크상의 슬롯판 (16) 과, 슬롯판 (16) 을 유지하는 디스크상의 안테나 본체 (17) 와, 슬롯판 (16) 과 안테나 본체 (17) 사이에 협지된 Al2O3, SiO2 혹은 Si3N4 의 저손실 유전체 재료로 이루어지는 지상판 (18) 에 의해 구성된 래디얼 라인 슬롯 안테나 (20) 가 설치되어 있다. 래디얼 라인 슬롯 안테나 (20) 는 처리 용기 (11) 상에 시일링 (11u) 를 개재하여 장착되어 있고, 래디얼 라인 슬롯 안테나 (20) 에는 동축 도파관 (21) 을 개재하여 외부의 마이크로 파원 (도시하지 않음) 로부터 주파수가 2.45GHz 혹은 8.3GHz 의 마이크로파가 공급된다. 공급된 마이크로파는 슬롯판 (16) 상의 슬롯 (16a,16b) 으로부터 커버 플레이트 (15) 및 샤워 플레이트 (14) 를 개재하여 처리 용기 (11) 중에 방사되고, 샤워 플레이트 (14) 바로 아래의 공간 (11B) 에 있어서, 개구부 (14A) 로부터 공급된 플라즈마 가스 중에 플라즈마를 여기한다. 그 때, 커버 플레이트 (15) 및 샤워 플레이트 (14) 는 Al2O3 에 의해 형성되어 있어, 효율적인 마이크로파 투과창으로서 작용한다.
동축 도파관 (21A) 중, 외측의 도파관 (21A) 은 디스크상의 안테나 본체 (17) 에 접속되고, 중심 도체 (21B) 는, 지상판 (18) 에 형성된 개구부를 개재하여 슬롯판 (16) 에 접속되어 있다. 거기서 동축 도파관 (21A) 에 공급된 마이크로파는, 안테나 본체 (17) 와 슬롯판 (16) 사이를 직경 방향으로 진행하면서, 슬롯 (16a,16b) 에 의해 방사된다.
도 2 의 (B) 를 참조하면, 슬롯 (16a) 은 동심원상으로 배열되어 있고, 각각의 슬롯 (16a) 에 대응하여, 이것에 직행하는 슬롯 (16b) 이 동일하게 동심원상으로 형성되어 있다. 슬롯 (16a,16b) 은, 슬롯판 (16) 의 반경 방향으로, 지상판 (18) 에 의해 압축된 마이크로파의 파장에 대응한 간격으로 형성되어 있고, 그 결과 마이크로파는 슬롯판 (16) 으로부터 대략 평면파가 되어 방사된다. 그 때, 슬롯 (16a 및 16b) 을 상호 직교하는 관계에서 형성되어 있기 때문에, 이와 같이 하여 방사된 마이크로파는, 두 개의 직교하는 편파 성분을 포함하는 원편파를 형성한다.
또한, 도 2 의 (A) 의 마이크로파 플라즈마 처리 장치 (10) 에서는, 처리 용기 (11) 중, 샤워 플레이트 (14) 와 유지대 (13) 상의 피처리 기판 (12) 사이에, 처리 용기 (11) 의 외벽에 형성된 처리 가스 주입구 (11r) 로부터 처리 가스를 공급받아 이것을 다수의 처리 가스 노즐 개구부 (31B) (도 7 참조) 로부터 방출하는 격자상의 처리 가스 통로 (31A) 를 갖는 하단 샤워 플레이트 (처리 가스 공급 구조) (31) 가 설치되어, 처리 가스 공급 구조 (31) 와 피처리 기판 (12) 사이의 공간 (11C) 에 있어서, 원하는 균일한 기판 처리가 이루어진다. 이러한 기판 처리에는, 플라즈마 산화 처리, 플라즈마 질화 처리, 플라즈마 산질화 처리, 플라즈마 CVD 처리 등이 포함된다. 또한, 처리 가스 공급 구조 (31) 로부터 공간 (11C) 에 C4F8, C5F8 또는 C4F6 등의 해리하기 쉬운 플루오로카본 가스나, F 계 혹은 Cl 계 등의 에칭 가스를 공급하고, 유지대 (13) 에 고주파 전원 (13A) 으로부터 고주파 전압을 인가함으로써, 피처리 기판 (12) 에 대해서 반응성 이온 에칭을 실시하는 것이 가능하다.
도 7 을 참조하면, 하단 샤워 플레이트 (처리 가스 공급 구조) (31) 는 처리 용기 내벽과 동일하게 Al 을 주성분으로 하는 합금 모재에 상기와 마찬가지로 양극 산화에 의해 제 1 피막층으로서 산화 알루미늄 보호막이 형성되고, 그 위에 제 2 피막층으로서 산화 이트륨막이 형성되어 있다. 격자상 처리 가스 통로 (31A) 는 처리 가스 주입구 (11r) 에 처리 가스 공급 포트 (31R) 에서 접속되고, 하면에 형성된 다수의 처리 가스 노즐 개구부 (31B) 로부터 처리 가스를 공간 (11C) 에 균일하게 방출한다. 또한, 처리 가스 공급 구조 (31) 에는, 인접하는 처리 가스 통로 (31A) 사이에 플라즈마나 플라즈마 중에 함유되는 처리 가스를 통과시키는 개구부 (31C) 가 형성되어 있다.
격자상 처리 가스 통로 (31A) 및 처리 가스 노즐 개구부 (31B) 는 도 3 에 파선으로 나타내는 피처리 기판 (12) 보다 약간 큰 영역을 커버하도록 형성되어 있다. 이러한 하단 샤워 플레이트 (처리 가스 공급 구조) (31) 를 상단 샤워 플레이트 (14) 와 피처리 기판 (12) 사이에 형성함으로써, 처리 가스를 플라즈마 여기하고, 이러한 플라즈마 여기된 처리 가스에 의해, 균일하게 처리하는 것이 가능해진다.
이 처리 장치에서는, 처리 장치의 내벽이나, 처리 장치 내의 부품 예를 들어, 하단 샤워 플레이트에 Al 을 주성분으로 하는 Al 합금 모재의 직접 산화에 의해 형성된 산화 알루미늄의 제 1 피막 및 그 위에 형성된 산화 이트륨의 제 2 피막을 형성하고 있으므로, 기판 처리 실내로부터 기판 표면에 대한 금속 오염을 방지할 수 있다.
또 처리 장치 내의 배관 등에 상기 구조의 보호 피막을 적용함으로써, 배기 펌프, 배기계 배관, 배기 밸브의 부식에 의한 장치 정지·가동률의 저하를 억제할 수 있다. 또한 반도체 또는 평판 디스플레이 제조 장치 내에 대한 프로세스 가스의 해리에 의한 반응 생성물의 퇴적을 억제할 수 있고, 또한 제조장을 실온보다 높은 온도에서 가온시켜 둠으로써 부 (副) 반응 생성물의 내 표면에 대한 퇴적을 억제할 수 있다. 1 기판 처리실에서 수 종류의 프로세스를 공유하는 것이 가능한 단계 투자형의 반도체 또는 평판 디스플레이 생산 방식을 실현하는 다기능 제조 장치가 얻어진다.

Claims (14)

  1. 반도체 등의 제조 장치에 사용하는 금속 부재의 보호막 구조로서, 모재 금속의 직접 산화에 의해 형성된 산화물 피막을 갖는 제 1 피막층과, 제 1 피막층과는 상이한 재료로 이루어지는 제 2 피막층을 갖는 것을 특징으로 하는 금속 부재의 보호막 구조.
  2. 제 1 항에 있어서,
    상기 모재 금속의 표면을 제 1 피막층의 형성 전에 블라스트 처리하는 것을 특징으로 하는 금속 부재의 보호막 구조.
  3. 제 1 항에 있어서,
    상기 제 1 피막층은 금속의 열 산화에 의해 형성된 산화물 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  4. 제 1 항에 있어서,
    상기 제 1 피막층은 pH4 ∼ pH10 의 유기계 화성액으로 이루어지는 전해질 용액에 의해 양극 산화를 실시하여 형성된 산화물 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  5. 제 1 항에 있어서,
    상기 제 1 피막층은 pH4 ∼ pH10 의 무기 화성액으로 이루어지는 전해질 용액에 의해 양극 산화를 실시하여 형성된 산화물 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 피막층은, 막 두께가 1 미크론 이하인 것을 특징으로 하는 금속 부재의 보호막 구조.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 제 2 피막층은 플라즈마 용사법에 의해 형성된 산화 알루미늄, 산화 이트륨, 산화 마그네슘 및 이들의 혼정 중 어느 하나로 이루어지는 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  8. 제 7 항에 있어서,
    상기 제 2 피막층이 200 미크론 정도인 것을 특징으로 하는 금속 부재의 보호막 구조.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 제 2 피막층은 NiP 도금, Ni 도금, Cr 도금 중 적어도 하나로 이루어지 는 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 제 2 피막층은 불소 수지 코팅에 의해 형성된 불소 수지 피막인 것을 특징으로 하는 금속 부재의 보호막 구조.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 금속 부재의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치용 가스 공급 샤워 헤드.
  12. 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 금속 부재의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치용 금속 부품.
  13. 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 금속 부재의 보호막 구조를 이용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치.
  14. 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 금속 부재의 보호막 구조를 처리실 내벽에 사용하는 것을 특징으로 하는 반도체 또는 평판 디스플레이 제조 장치.
KR1020077028920A 2005-06-17 2006-06-16 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치 KR101322549B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00178611 2005-06-17
JP2005178611 2005-06-17
PCT/JP2006/312110 WO2006135043A1 (ja) 2005-06-17 2006-06-16 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置

Publications (2)

Publication Number Publication Date
KR20080025675A true KR20080025675A (ko) 2008-03-21
KR101322549B1 KR101322549B1 (ko) 2013-10-25

Family

ID=37532396

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077028920A KR101322549B1 (ko) 2005-06-17 2006-06-16 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치

Country Status (7)

Country Link
US (1) US8124240B2 (ko)
EP (1) EP1914330A4 (ko)
JP (1) JP5382677B2 (ko)
KR (1) KR101322549B1 (ko)
CN (1) CN101218376A (ko)
TW (1) TWI397607B (ko)
WO (1) WO2006135043A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009188257A (ja) * 2008-02-07 2009-08-20 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
JP2010098158A (ja) * 2008-10-17 2010-04-30 Seiko Epson Corp プラズマcvd装置用サセプタ及びその製造方法、並びに、プラズマcvd装置、並びにその保守方法、並びに半導体装置の製造方法
JP5622139B2 (ja) * 2009-02-04 2014-11-12 国立大学法人東北大学 噴霧ノズル及びミスト帯電防止方法
EP2233611A1 (de) * 2009-03-24 2010-09-29 MTV Metallveredlung GmbH & Co. KG Schichtsystem mti verbesserter Korrosionsbeständigkeit
US8512872B2 (en) * 2010-05-19 2013-08-20 Dupalectpa-CHN, LLC Sealed anodic coatings
WO2011149317A2 (ko) * 2010-05-28 2011-12-01 성균관대학교산학협력단 수분 및/또는 산소 투과 방지를 위한 유연성 유/무기 복합 보호막, 그의 제조방법, 및 상기 유연성 유/무기 복합 보호막을 포함하는 전자소자
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP6016037B2 (ja) * 2011-05-24 2016-10-26 国立研究開発法人産業技術総合研究所 赤外線透過膜、赤外線透過膜の製造方法、赤外線用光学部品および赤外線装置
BR112013032392A8 (pt) * 2011-06-17 2018-07-31 Nippon Steel & Sumikin Coated Sheet Corp Metal de superfície tratada e método para produzir o mesmo
CN102839350A (zh) * 2011-06-23 2012-12-26 苏州五方光电科技有限公司 镀膜机
JP2013021130A (ja) * 2011-07-11 2013-01-31 Tohoku Univ 製造プロセス用の処理槽及びその製造法
WO2013011635A1 (ja) 2011-07-21 2013-01-24 国立大学法人東北大学 ガス排気用ポンプのスクリューローター及びその製造方法、並びにそのスクリューローターを備えるガス排気用ポンプ及びその製造方法及び組立方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN102629541B (zh) * 2012-04-25 2016-02-17 中微半导体设备(上海)有限公司 喷淋头及其形成方法
US9850591B2 (en) 2013-03-14 2017-12-26 Applied Materials, Inc. High purity aluminum top coat on substrate
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP6288636B2 (ja) * 2013-08-05 2018-03-07 日本フッソ工業株式会社 精密機械用耐食性部材
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
WO2015116123A2 (en) * 2014-01-31 2015-08-06 Hewlett-Packard Development Company, L.P. Surface treatments of metal substrates
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
KR101557713B1 (ko) * 2015-03-05 2015-10-06 유한회사 한국 타코닉 비점착 특성이 개선된 조리기구 및 그의 제조방법
US20160258064A1 (en) * 2015-03-06 2016-09-08 Applied Materials, Inc. Barrier anodization methods to develop aluminum oxide layer for plasma equipment components
JP2016193512A (ja) * 2015-03-31 2016-11-17 株式会社神戸製鋼所 金属基板
US9757812B2 (en) 2015-07-27 2017-09-12 Al-Armor Metallurgically bonded wear resistant texture coatings for aluminum alloys and metal matrix composite electrode for producing same
WO2017112843A1 (en) * 2015-12-22 2017-06-29 Applied Materials, Inc. Corrosion resistant coating for semiconductor process equipment
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
CN108022821B (zh) * 2016-10-28 2020-07-03 中微半导体设备(上海)股份有限公司 等离子体处理装置及气体通道的耐腐蚀防护方法
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US20200406222A1 (en) * 2018-03-08 2020-12-31 Beijing Naura Microelectronics Equipment Co., Ltd. Reaction chamber component, preparation method, and reaction chamber
JP2022522752A (ja) * 2019-03-05 2022-04-20 ラム リサーチ コーポレーション プラズマ処理チャンバ用アルミニウム構成部品のための積層エアロゾル堆積被膜
CN112342551A (zh) * 2020-10-22 2021-02-09 仪征常众汽车部件有限公司 一种用于汽车配件的表面强化处理工艺
CN113539778B (zh) * 2021-07-13 2023-02-17 长鑫存储技术有限公司 气体注射器及扩散炉管设备
CN114405796B (zh) * 2021-12-24 2023-04-14 北京北方华创微电子装备有限公司 保护涂层形成方法、管状连接件以及半导体工艺设备

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6040051B2 (ja) * 1976-01-19 1985-09-09 ハネウエル・インコ−ポレ−テツド プリンタ制御方式
JPS6137959A (ja) 1984-07-27 1986-02-22 Nippon Steel Corp 薄鋼板上の溶射被膜形成方法
JPS62103377A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPH01312088A (ja) 1988-06-10 1989-12-15 Showa Alum Corp ドライエッチング装置およびcvd装置用電極の製造方法
JPH02298335A (ja) 1989-05-12 1990-12-10 Showa Alum Corp アルミニウム製真空チャンバの腐食、汚染防止方法
JPH0372088A (ja) 1989-08-09 1991-03-27 Fujitsu Ltd スプレー処理装置
CA1341327C (en) 1989-09-05 2001-12-18 Dan Fern Methods for depositing finish coatings on substrates of anodisable metals and the products thereof
JP2915640B2 (ja) 1991-08-26 1999-07-05 株式会社日立製作所 タスク間インタフェ−ステストシステム
JPH05114582A (ja) 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
JP3576598B2 (ja) * 1993-12-30 2004-10-13 忠弘 大見 酸化不動態膜の形成方法及びフェライト系ステンレス鋼並びに流体供給システム及び接流体部品
US5756222A (en) * 1994-08-15 1998-05-26 Applied Materials, Inc. Corrosion-resistant aluminum article for semiconductor processing equipment
JPH08225991A (ja) 1995-02-22 1996-09-03 Furukawa Electric Co Ltd:The 自動車ボディー用アルミニウム合金板およびその製造方法
JPH08288376A (ja) * 1995-04-12 1996-11-01 Kobe Steel Ltd 半導体製造装置用静電チャック
JP3378126B2 (ja) 1995-09-01 2003-02-17 三菱電機株式会社 真空処理装置および半導体装置の製造方法
JP3506827B2 (ja) 1995-12-28 2004-03-15 三菱アルミニウム株式会社 表面処理アルミニウム材及びその製造方法
JPH09302499A (ja) * 1996-05-09 1997-11-25 Mitsubishi Alum Co Ltd アルミニウム材
ATE217366T1 (de) * 1996-08-15 2002-05-15 Alcan Tech & Man Ag Reflektor mit resistenter oberfläche
JP3553288B2 (ja) 1996-09-03 2004-08-11 三菱アルミニウム株式会社 耐食性および光輝性に優れた車両用ホイール
JPH10130884A (ja) 1996-10-25 1998-05-19 Nagayama Kogyosho:Kk 耐熱性陽極酸化皮膜の処理方法
JP3705898B2 (ja) * 1997-06-27 2005-10-12 三菱アルミニウム株式会社 真空機器の表面処理アルミニウム構成部品及びその製造方法
JP3746878B2 (ja) 1997-07-23 2006-02-15 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れるアルマイト皮膜形成性および耐熱性に優れた半導体製造装置用Al合金および半導体製造装置用材料
JP3256480B2 (ja) 1997-12-19 2002-02-12 スカイアルミニウム株式会社 耐加熱クラック性に優れた高強度Al−Zn−Mg−Cu系合金アルマイト部材およびその製造方法
CN1105806C (zh) * 1998-02-23 2003-04-16 花王株式会社 纸浆模制品的制造方法
DE19807823A1 (de) 1998-02-26 1999-09-09 Fraunhofer Ges Forschung Verfahren zur Herstellung einer korrosionsschützenden Beschichtung und Schichtsystem für Substrate aus Leichtmetall
JP4016073B2 (ja) * 1998-04-22 2007-12-05 財団法人国際科学振興財団 酸化アルミニウム不働態膜の形成方法及び溶接方法並びに接流体部材及び流体供給・排気システム
JP2000100781A (ja) * 1998-09-18 2000-04-07 Miyazaki Oki Electric Co Ltd エッチング装置および半導体デバイスの製造方法
JP4194143B2 (ja) * 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
JP4547125B2 (ja) 1999-05-13 2010-09-22 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
CN1367849A (zh) 1999-08-17 2002-09-04 岛屿涂层有限公司 轻合金基复合防护多功能涂层
JP2001104774A (ja) * 1999-10-05 2001-04-17 Sony Corp プラズマ処理装置
JP2001135636A (ja) 1999-11-04 2001-05-18 Mitsubishi Chemicals Corp 金属酸化物皮膜形成用化成液
JP2002177790A (ja) 2000-12-13 2002-06-25 Mitsubishi Alum Co Ltd 光触媒プレコート成形材料および光触媒プレコート成形体と光触媒プレコートフィン
JP4087051B2 (ja) 2000-12-13 2008-05-14 三菱アルミニウム株式会社 微孔質陽極酸化皮膜を備えたフィン材用アルミニウム材及びフィン材
JP2002299331A (ja) 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4430266B2 (ja) 2001-05-25 2010-03-10 東京エレクトロン株式会社 プラズマ処理容器内部材及びプラズマ処理装置
JP3850277B2 (ja) * 2001-12-03 2006-11-29 東芝セラミックス株式会社 耐プラズマ性部材の製造方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
JP2003261396A (ja) * 2002-03-11 2003-09-16 Toshiba Ceramics Co Ltd 耐プラズマ性窒化アルミニウム基セラミックス
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP2004060044A (ja) 2002-07-30 2004-02-26 Koyo Kinzoku Boshoku:Kk アルミニウムの表面処理法およびアルミニウム材
JP2004128128A (ja) * 2002-10-01 2004-04-22 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2005008909A (ja) * 2003-06-16 2005-01-13 Canon Inc 構造体の製造方法
JP3917966B2 (ja) 2003-09-29 2007-05-23 株式会社アルバック 真空装置及びその部品に使用されるアルミニウム又はアルミニウム合金の表面処理方法、真空装置及びその部品
JP4808374B2 (ja) * 2003-11-13 2011-11-02 富士通株式会社 金属成形品の表面処理方法

Also Published As

Publication number Publication date
KR101322549B1 (ko) 2013-10-25
JPWO2006135043A1 (ja) 2009-01-08
US20090142588A1 (en) 2009-06-04
WO2006135043A1 (ja) 2006-12-21
EP1914330A4 (en) 2010-03-03
CN101218376A (zh) 2008-07-09
US8124240B2 (en) 2012-02-28
EP1914330A1 (en) 2008-04-23
TWI397607B (zh) 2013-06-01
JP5382677B2 (ja) 2014-01-08
TW200712251A (en) 2007-04-01

Similar Documents

Publication Publication Date Title
KR101322549B1 (ko) 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
US8282987B2 (en) Aluminum-plated components of semiconductor material and methods of manufacturing the components
KR101344990B1 (ko) 도전성 내플라즈마 부재
US8333842B2 (en) Apparatus for etching semiconductor wafers
KR101107542B1 (ko) 플라즈마 반응기용 용사 이트리아 함유 코팅
US7919722B2 (en) Method for fabricating plasma reactor parts
CN110473802A (zh) 利用氢自由基的设备和其使用方法
KR101737378B1 (ko) 플라즈마 전해질 산화 코팅에서의 구리 또는 미량 금속 오염 물질의 감소
CN104882360B (zh) 等离子体处理装置的清洁方法
US8394231B2 (en) Plasma process device and plasma process method
JP2006100305A (ja) プラズマ処理装置
JP4524354B2 (ja) マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
US20180195196A1 (en) Protective oxide coating with reduced metal concentrations
KR100727205B1 (ko) 플라즈마 성막 방법 및 그 장치
US20170084542A1 (en) Method of processing target object
TWI406338B (zh) 半導體之膜形成方法與設備
JP2011243635A (ja) 堆積チャンバのリモートクリーニング方法
CN100447961C (zh) 氟化碳膜的形成方法
TW202013426A (zh) 具保護性塗層之處理腔室的處理套組
US6626186B1 (en) Method for stabilizing the internal surface of a PECVD process chamber
KR20100131566A (ko) 화학기상 증착 장치의 샤워 헤드
JP2000208491A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 6