KR20020026480A - 반도체 제조 장치에서의 웨이퍼 지지 장치 - Google Patents

반도체 제조 장치에서의 웨이퍼 지지 장치 Download PDF

Info

Publication number
KR20020026480A
KR20020026480A KR1020017016802A KR20017016802A KR20020026480A KR 20020026480 A KR20020026480 A KR 20020026480A KR 1020017016802 A KR1020017016802 A KR 1020017016802A KR 20017016802 A KR20017016802 A KR 20017016802A KR 20020026480 A KR20020026480 A KR 20020026480A
Authority
KR
South Korea
Prior art keywords
lift
wafer
ring
wafer support
susceptor
Prior art date
Application number
KR1020017016802A
Other languages
English (en)
Inventor
요지 다카기
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020026480A publication Critical patent/KR20020026480A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 웨이퍼 지지 장치는, 상부 및 하부의 각각에 열원을 갖는 반도체 제조 장치의 처리 챔버내에 설치되는 웨이퍼 지지 본체와, 그 상면의 지지 영역의 외측으로부터 내측으로 연장하며, 경사진 상면을 갖는 리프트부와, 리프트부를 지지하는 원호형상의 리프트 링과, 상단부가 리프트 링에 접속되고, 웨이퍼 지지 본체의 관통공을 통해 상하 운동되는 리프트 핀을 구비하며, 리프트 핀의 하강시에 관통공이 리프트 링에 의해 덮여져 실질적으로 폐쇄되는 것을 특징으로 한다. 이로써, 관통공에 기인한 온도 분포의 불균일이 해소된다.

Description

반도체 제조 장치에서의 웨이퍼 지지 장치 {WAFER SUPPORTING DEVICE OF SEMICONDUCTOR MANUFACTURING DEVICE}
반도체 제조 장치에는, 실리콘 웨이퍼를 한 장씩 처리하는 개별식이라 불리우는 것이 있다. 이 개별식 반도체 제조 장치에서는, 통상적으로, 한 장의 웨이퍼만을 수평으로 지지하는 웨이퍼 지지 장치가 처리 챔버내에 설치되어 있다.
일반적인 웨이퍼 지지 장치는, 웨이퍼가 놓여지는 웨이퍼 지지 본체, 소위 서셉터로 이루어지는 것이 기본적인 구성이다. 또한, 웨이퍼 지지 장치에는, 웨이퍼를 서셉터에 대해 상하 운동시키기 위한 리프트 기구가 설치되어 있다. 종래의 일반적인 리프트 기구는, 서셉터를 관통하여 연장하는 복수 개의 리프트 핀을 갖고 있으며, 이들 리프트 핀의 상단에 웨이퍼를 올려놓고, 리프트 핀을 상하 운동시킴으로써, 웨이퍼를 승강시킬 수 있게 되어 있다. 이와 같은 리프트 기구에 의해, 반송 로봇의 블레이드에 놓여져 운반되어온 웨이퍼를 서셉터상으로 이동시키거나, 혹은 반대로, 웨이퍼를 서셉터로부터 반송 로봇으로 인도하는 것이 가능해진다.
상술한 바와 같은 종래의 웨이퍼 지지 장치에서는, 웨이퍼를 지지하고 있을 때, 리프트 핀이 서셉터의 상면보다도 하방의 위치에 놓여진다. 따라서, 웨이퍼를 서셉터로부터 들어올리기 위해 리프트 핀을 상승시키면, 리프트 핀의 상단이 웨이퍼의 이면에 닿아, 그 부분에 상처가 나게 되는 경우가 있다. 웨이퍼 이면의 상처는 이후의 프로세스에서 악영향을 미칠 우려가 있다.
또한, 웨이퍼의 상하 운동시에, 단지 리프트 핀의 상단에서만 웨이퍼의 이면을 지지하도록 되어 있기 때문에, 웨이퍼의 위치가 어긋나기 쉽고, 서셉터상으로 내렸을 때, 서셉터의 지지 영역으로부터 불거져 나올 가능성이 있었다.
그런데, 반도체 제조 장치의 하나인 에피택셜 성장 장치에서는, 열원이 서셉터의 상방 및 하방에 배치되어 있어, 서셉터 상의 웨이퍼를 소정 온도로 가열할 수 있게 하고 있다. 이 경우, 서셉터의 표면 전체의 온도 분포가 균일해지는 것이 바람직하나, 리프트 핀을 통과시키기 위한 관통공이 형성되어 있기 때문에, 서셉터 표면의 온도 분포가 불균일해지는 경향이 있었다.
상술한 문제점들을 해소하기 위해, 본 발명자는 여러 가지로 검토한 결과, 웨이퍼를 지지하기 위한 지지 영역을 상면에 갖는 웨이퍼 지지 본체와, 이 웨이퍼 지지 본체의 지지 영역의 외측으로부터 지지 영역의 내측으로 연장되며, 내측을 향해 하방으로 경사진 경사면을 상면에 가지며, 또한, 웨이퍼 지지 본체의 상면보다도 하측의 위치와 상측의 위치 사이에서 상하 운동 가능하게 되어 있는 복수의 리프트부를 구비한 웨이퍼 지지 장치를 창안하였다. 그 구성을 구체적으로 도시하면, 도 8 및 도 9와 같다.
도 8 및 도 9에서, 부호 1은 웨이퍼(W)를 지지하는 웨이퍼 지지 본체인 서셉터이며, 부호 2는 웨이퍼 지지 영역이다. 또한, 부호 3은 리프트부이다. 이 리프트부(3)는 리프트 핀(4)의 구성 부분으로서 형성되어 있다.
리프트부(3)의 위치 및 그 상면이 경사져 있음으로하여, 리프트부(3)는 웨이퍼(W)의 이면에 접하는 일이 없고, 웨이퍼(W)의 외측 원주 하측 가장자리에만 접한다. 따라서, 웨이퍼 이면에 상처가 나는 것을 방지할 수 있다. 또한, 리프트부(3)의 상면은, 외측으로 갈수록 높게 되어 있기 때문에, 수평 방향의 위치가 어긋나는 것도 억제할 수 있다.
그러나, 에피택셜 성장 장치 등과 같은 열처리 장치에서는, 리프트부(3) 내지 리프트 핀(4)은 서셉터(1)와 일체화되고, 서셉터(1)와 함께 회전가능하도록 해두는 것이 바람직한데, 상기와 같은 구성에서는, 서셉터(1)가 온도 변화에 의해 팽창 또는 수축하기 때문에, 리프트 핀(4)을 서셉터(1)에 매달아 지지시키는 등의 방법으로 일체화할 수가 없다. 즉, 서셉터(1)의 열팽창·열수축에 의해 리프트 핀(4), 나아가서는 리프트부(3)의 위치가 크게 어긋난 경우, 리프트부(3)가 웨이퍼(W)의 외측 원주 하측 가장자리를 지지할 수 없게 될 가능성이 있다. 이 때문에, 도 8 및 도 9에 도시한 바와 같이, 리프트 핀(4)을 관통시키는 관통공(5)을 비교적 크게 하고, 리프트 핀(4)은 상하 운동 가능한 리프트 아암(6)의 선단에 접속하는 구성을 채용할 수밖에 없다. 그 결과, 관통공(5)과 리프트 핀(4)과의 사이에 틈새가 형성된다. 이것이 웨이퍼 지지 영역(2)의 온도 분포를 불균일하게 하는 원인이 되는 것으로 생각된다.
따라서, 본 발명의 목적은, 웨이퍼 이면에 상처가 나거나 웨이퍼의 위치가 어긋나는 것을 방지할 수 있는 리프트 기구를 갖는 웨이퍼 지지 장치로서, 적어도 웨이퍼를 지지하는 지지 영역에서의 온도 분포를 균일하게 할 수 있는 장치를 제공하는데 있다.
본 발명은 반도체 제조 장치에서의 웨이퍼 지지 장치에 관한 것이며, 특히, 웨이퍼 지지 장치에 설치되어 있는, 웨이퍼를 상하 운동시키기 위한 수단에 관한 것이다.
도 1은 본 발명의 웨이퍼 지지 장치가 적용 가능한 에피택셜 성장 장치를 개략적으로 도시한 설명도이다.
도 2는 본 발명의 제 1 실시예에 관한 웨이퍼 지지 장치의 평면도이다.
도 3A는 웨이퍼를 서셉터상에서 지지한 상태를 도시한, 도 2의 Ⅲ-Ⅲ선에 따른 단면도이다.
도 3B는 웨이퍼를 서셉터상으로부터 들어올린 상태를 도시한, 도 2의 Ⅲ-Ⅲ선에 따른 단면도이다.
도 4는 도 3B의 Ⅳ-Ⅳ선에 따라 도시한 도면이다.
도 5는 도 2의 Ⅴ-Ⅴ선에 따른 단면도이다.
도 6은 도 2의 Ⅵ-Ⅵ선에 따른 단면도이다.
도 7A는 본 발명의 제 2 실시예에 관한 웨이퍼 지지 장치를 도시한 도면으로서, 웨이퍼를 서셉터상에 지지한 상태를 도시한 단면도이다.
도 7B는 웨이퍼를 서셉터로부터 들어올린 상태를 도시한 단면도이다.
도 8은 본 발명의 창안 과정에서 얻어진 구성을 도시한 단면도이다.
도 9는 도 8의 구성을 부분적으로 도시한 평면도이다.
상기 목적을 달성하기 위해, 본 발명은, 상부 및 하부의 각각에 열원을 갖는 반도체 제조 장치의 처리 챔버내에 설치되고, 웨이퍼를 지지하기 위한 지지 영역을 상면에 갖는 웨이퍼 지지 본체와, 웨이퍼 지지 본체의 지지 영역의 외측으로부터 지지 영역의 내측으로 연장되며, 내측을 향해 하방으로 경사진 경사면을 상면에 가지며, 또한 웨이퍼 지지 본체의 상면보다도 하측의 위치와 상측의 위치 사이에서 상하 운동 가능하게 되어 있는 복수의 리프트부와, 지지 영역의 외측에 배치된 원호 형상의 리프트 링이며, 상기 리프트 링의 내측 원주 가장자리에 리프트부가 일체적으로 형성되어 있는 상기 리프트 링과, 상단부가 리프트 링에 접속되고, 웨이퍼 지지 본체에 형성된 관통공을 통해 상하 운동되는 리프트 핀을 구비하며, 리프트 핀의 하강시에 관통공이 리프트 링에 의해 덮여져 실질적으로 폐쇄되도록 되어 있는 것을 특징으로 하고 있다.
이에 따라, 관통공과 리프트 핀과의 사이의 틈새에 의한 온도 분포의 불균일이라는 문제는 해소된다.
또한, 리프트 핀이 리프트 링에 의해 구속되어 있기 때문에, 리프트 링과 웨이퍼 지지 본체와의 사이의 열팽창 차이에 의해 리프트 핀이 관통공의 내벽면에 강하게 접촉할 우려가 있으므로, 관통공은, 웨이퍼 지지 본체의 직경 방향으로 연장되는 긴 구멍으로 형성하는 것이 효과적이다.
또한, 리프트 링에, 리프트부에 인접한 위치에 고리 부재를 상하 운동 가능하게 배치하고, 리프트 링을 들어올린 상태로 한 경우, 고리 부재가 리프트 링으로부터 분리되어 더욱 들어올려지도록 하는 것이 효과적이다. 이러한 구성에서는, 리프트부에 의해 지지된 웨이퍼의 수평 방향의 이동을, 웨이퍼보다 높은 위치에 배치되는 고리 부재에 의해 저지하는 것이 가능해진다.
또한, 리프트부 상면의, 지지 영역의 둘레 방향에 따른 단면 형상은, 상방으로 볼록하게 만곡된 형상으로 하는 것이 바람직하다. 이렇게 하면, 리프트부와 웨이퍼와의 접촉은 점접촉이 된다.
본 발명의 상기 목적 및 기타의 특징이나 이점은, 첨부 도면을 참조하면서 이하의 상세한 설명을 읽어봄으로써, 당업자에게는 명확히 이해될 것이다.
이후, 도면을 참조하여 본 발명의 바람직한 실시예에 대해, 상세히 설명한다.
도 1은, 본 발명에 관한 웨이퍼 지지 장치를 설치할 수 있는 반도체 제조 장치로서의 에피택셜 성장 장치를 개략적으로 도시한 것이다. 도시된 에피택셜 성장 장치(10)는, 실리콘 웨이퍼(도 1에서는 도시가 생략됨)를 1장씩 처리하는 개별식이며, 석영 유리로 구성된 처리 챔버(12)를 구비하며, 이 처리 챔버(12)내에 웨이퍼 지지 장치(14)가 배치되어 있다. 처리 챔버(12)의 측부에는 처리 가스의 도입구(16)가 형성되고, 이에 대향하는 위치에는 배기구(18)가 형성되어 있다. 또한, 처리 챔버(12)의 상측 영역 및 하측 영역에는, 각각 복수 개의 할로겐 램프(20)가 방사상으로 배치되어 있다.
상기의 구성을 갖는 에피택셜 성장 장치(10)에 있어서, 웨이퍼 지지장치(14)에 의해 웨이퍼를 지지한 다음, 할로겐 램프(20)를 점등하여 웨이퍼를 가열함과 동시에, 배기구(18)로부터 배기를 행하면서 트리클로로실란(SiHCl3) 가스나 디클로로실란(SiH2Cl2) 가스 등을 처리 가스로서 도입구(16)로부터 도입하면, 소정의 온도로 가열된 웨이퍼의 표면을 따라 처리 가스가 층류 상태로 흘러, 웨이퍼상에 실리콘의 단결정이 에피택셜 성장한다.
이와 같은 에피택셜 장치(10)에 있어서의, 본 발명의 제 1 실시예에 따른 웨이퍼 지지 장치(14)는, 도 2∼도 6에 도시한 바와 같은 웨이퍼 지지 본체인 서셉터(22)를 구비하고 있다. 서셉터(22)는, 탄화실리콘으로 피복된 흑연 재료로 이루어진 원반형상의 것으로서, 처리 챔버(12)의 하부에 세워 설치된 석영 유리제의 지지축(24)에 의해, 이면측으로부터 3군데에서 수평으로 지지되어 있다. 서셉터(22)의 상면에는, 원형의 오목부(26)가 형성되어 있다. 이 오목부(26)는 웨이퍼(W)를 수용하여 지지하는 수용 영역이 된다. 오목부(26)의 바닥면의 외측 원주 부분에는, 중심측을 향해 하방으로 경사진 경사면(28)이 형성되어 있다. 따라서, 웨이퍼(W)를 서셉터(22)의 오목부(26)내의 소정 위치에 배치하면, 오목부(26) 외측 원주의 경사면(28)에 웨이퍼(W)의 외측 원주 하측 가장자리(모서리부)가 접한 상태에서 웨이퍼(W)가 지지된다(도 3A 참조). 이렇게 지지된 상태에서, 웨이퍼(W)의 상면과, 오목부(26)보다 외측에 있는 서셉터 외측 원주 부분의 상면은, 거의 동일한 면이 된다. 이것은, 도입구(16)로부터 도입된 처리 가스가 층류 상태를 유지하며 흐르게 되기 때문이다.
서셉터(22)의 외측 원주 부분에는, 거의 원호 형상(C형)인 홈(30)이 서셉터(22)와 동심으로 형성되어 있다. 이 홈(30)의 원호 각은, 약 250도가 되는 것이 바람직하다. 홈(30)내에는 홈(3O)과 거의 동일한 형상의 원호형 내지는 C형의 리프트 링(32)이 배치된다.
리프트 링(32)을 홈(30)내에 수용한 상태에서는, 상기와 동일한 이유에서, 리프트 링(32)의 상면과 서셉터(22)의 외측 원주 부분의 상면은 동일한 평면이 되도록 사이즈가 결정되어 있다. 리프트 링(32)의 내측 원주 가장자리에는 3개의 리프트부(36)가 일체적으로 돌출 설치되어 있다. 3개의 리프트부(36)는 약 120도의 간격으로 설치되는 것이 바람직하다. 각 리프트부(36)는 내측(서셉터(22)의 중심측)을 향해 연장되고, 그 선단은 오목부(26)의 내측 영역에까지 달해 있다. 리프트부(36)에 대응하는 서셉터(22)의 부분에는, 리프트부(36)과 거의 동일한 형상의 노치(38)가 형성되어 있어, 리프트 링(32)을 홈(30)내에 수용할 때 장해가 되지 않도록 하고 있다.
리프트부(36)의 상면은 리프트 링(32)의 상면보다 한 단 아래에 있으며, 리프트 링(32)을 홈(30)내에 수용한 상태에서, 오목부(26)의 바닥면, 적어도 외측 원주 부분의 경사면(28)보다도 하측에 위치한다. 따라서, 서셉터(22)상에서 웨이퍼(W)를 지지할 때에는, 웨이퍼(W)가 리프트부(36)에 접하지 않는다. 또한, 리프트부(36)의 상면은, 서셉터(22)의 중심을 향해 하방으로 경사져 있다. 또한, 도 6으로부터 알 수 있듯이, 리프트부(36)의 상면은, 서셉터(22)의 둘레 방향에서 상방으로 볼록한 만곡면으로 되어 있다.
본 실시예에 관련된 리프트 기구(34)는, 도 1에 도시한 바와 같이, 서셉터 지지축(24)의 주축(24a)을 둘러싸듯이 배치된 상하 운동 가능한 리프트 튜브(40)와, 이 리프트 튜브(40)를 상하 운동시키는 구동 장치(42)와, 리프트 튜브(40)로부터 방사상으로 연장되는 3개의 리프트 아암(44)과, 서셉터(22)의 홈(30)의 바닥면으로부터 관통 형성된 관통공(46)을 지나 매달린 채로 지지되어 있는 리프트 핀(48)을 구비하고 있다. 이와 같은 구성에서, 구동 장치(42)를 제어하여 리프트 튜브(40) 및 리프트 아암(44)을 상승시키면, 리프트 아암(44)의 선단부에서 리프트 핀(48)이 밀려올라가고, 그 결과, 리프트 링(32)이 상승하게 되어 있다. 리프트 핀(48)이 매달려 지지되는 것은, 그 상단부에 형성된 플랜지(49)에 의해 이루어진다.
또한, 서셉터(22)의 관통공(46)은, 도 2, 도 3A 및 도 3B로부터 알 수 있듯이, 리프트 링(32)에 의해 덮여지고, 리프트 핀(48)이 하강하여 리프트 링(32)이 홈(30)에 수용되어 있을 때, 관통공(46)이 리프트 링(32)에 의해 실질적으로 폐쇄되도록, 관통공(46) 및 리프트 링(32)의 위치 및 사이즈가 결정되어 있다.
또한, 서셉터(22)는 프로세스 실행시에, 처리 가스가 웨이퍼(W)에 균등하게 접하도록 수평방향으로 회전된다. 이 때문에, 서셉터(22)를 지지하고 있는 서셉터 지지축(24)이 회전구동하게 되어 있는데, 리프트 핀(48)은, 서셉터 지지축(24)의 방사상으로 연장되는 지지 아암(24b)에 설치된 관통공을 통과하므로, 서셉터 지지축(24) 및 서셉터(22)와 일체적으로 회전된다. 이 때문에, 리프트 아암(44)의 선단부에는, 서셉터 지지축(24)의 주축(24a)을 둘러싸는 링 플레이트(45)가 부착되어, 리프트 핀(48)이 회전 방향의 어느 위치에 있더라도, 리프트 핀(48)을 밀어올릴 수 있게 하는 것이 바람직하다.
또한, 리프트 핀(48)의 상단부는, 리프트 링(32)의 하면에 형성된 오목부에 끼워져 있어, 그 움직임이 리프트 링(32)에 의해 구속되어 있다.
이와 같이 리프트 핀(48)은, 리프트 아암(44)과 리프트 링(32)에 의해 구속되어 있고, 서셉터(22)와 리프트 아암 및 리프트 링(32)과의 사이에 열팽창 차이가 있기 때문에, 관통공(46)의 내부 직경이 리프트 핀(48)의 외부 직경과 동등하면, 에피택셜 성장 프로세스시에 리프트 핀(48)의 측면이 관통공(46)의 내측면에 강하게 접촉할 우려가 있다. 따라서, 본 실시예에서는, 그와 같은 사태가 발생하지 않도록, 도 4에 명확히 도시한 바와 같이, 관통공(46)이 서셉터(22)의 직경 방향으로 연장되는 긴 구멍으로 형성되어 있다. 관통공(46)의 긴 지름에 대해서는, 적당히 결정할 수 있으나, 프로세스 실행시에 처리 가스가 관통공(46)을 통해 상방으로부터 하방으로 흐르지 않도록, 리프트 핀(48)의 플랜지(49)에 의해 폐쇄되도록 하는 것이 바람직하다.
이와 같은 구성의 웨이퍼 지지 장치(14)에 웨이퍼(W)를 지지시키는 경우, 우선, 반송 로봇을 조작하여, 반송 로봇의 블레이드(50)에 놓여진 웨이퍼(W)를 서셉터(22)의 오목부(26)의 수직 상방 위치에 배치한다. 그런 다음, 리프트 기구(34)의 구동 장치(42)를 제어하여 리프트 링(32)을 상승시킨다. 이 때, 반송 로봇의 블레이드(50)는 리프트 링(32)의 개방 부분에 위치해 있기 때문에(도 2 참조), 리프트 링(32)의 상승을 방해하지 않는다. 리프트 링(32)이 블레이드(50)보다 높은위치까지 상승하면, 웨이퍼(W)는 블레이드(50)로부터 리프트 링(32)의 리프트부(36)로 옮겨가서, 3군데에서 지지된다(도 3B 참조). 리프트부(36)의 상면은, 상술한 바와 같이, 내측을 향해 하방으로 경사져 있기 때문에, 리프트부(36)가 접하는 부분은 웨이퍼(W)의 외측 원주 하측 가장자리뿐이다. 이 리프트부(36)의 경사는, 웨이퍼(W)의 수평 방향의 이동을 억제하는 기능도 한다. 또한, 각 리프트부(36)의 상면은 볼록하게 만곡되어 있기 때문에, 한 군데에서만 웨이퍼(W)에 접촉한다. 또한, 리프트부(36)와 리프트 링(32)과의 사이에는 단차가 형성되어 있기 때문에, 웨이퍼(W)의 위치가 어긋나는 것은 이것에 의해서도 방지되나, 어떠한 원인으로 인해 웨이퍼(W)가 단차를 넘어서는 경우가 있을 수 있으므로, 도 3A 및 도 3B에서 부호 52로 도시한 돌기를 설치해 두는 것이 바람직하다.
웨이퍼(W)가 리프트 링(32)의 리프트부(36)에 의해 지지되었다면, 반송 로봇의 블레이드(50)를 서셉터(22)의 상방으로부터 처리 챔버(12)의 외부로 이동시켜, 리프트 링(32)을 하강시킨다. 리프트 링(32)이 홈(30)내로 완전히 하강되면, 도 3A에 도시한 바와 같이, 리프트부(36)는 서셉터(22)의 오목부(26)의 경사면(28)보다도 하방에 위치하므로, 웨이퍼(W)는 오목부(26)의 경사면(28)에서 지지되게 된다. 그 후, 상술한 에피택셜 성장 프로세스가 실행되게 된다.
이 때, 관통공(46)과 리프트 핀(48)과의 사이에는 틈새가 형성되어 있는데, 전술한 바와 같이 이 틈새는 리프트 링(32)에 의해 덮여져 폐쇄된다. 또한, 본 실시예에서는, 리프트 핀(48)의 플랜지(49)에 의해서도 폐쇄된다. 이 때문에, 처리 챔버(12)의 하부에 배치된 열원인 할로겐 램프(20)로부터의 적외선이 상기 틈새를통해 서셉터(22)의 상면측에 도달하지 않게 되고, 이에 따라 오목부(지지 영역; 26)의 온도 분포의 균일화에 기여하게 된다. 온도 분포의 균일화는, 에피택셜 성장면의 내부의 균일화에 공헌하는 것이다.
웨이퍼(W)를 서셉터(22)로부터 들어올려, 반송 로봇의 블레이드(50)로 이동시키는 경우는, 상기와 반대의 순서로 리프트 기구(34) 및 반송 로봇을 조작하면 된다는 것은 용이하게 이해할 수 있을 것이다.
도 7A 및 도 7B는, 본 발명의 제 2 실시예에 관한 웨이퍼 지지 장치(114)를 도시한 것이다. 이 제 2 실시예에 있어서, 제 1 실시예와 동일하거나 또는 그에 상응하는 부분에는 동일한 부호를 사용하며, 그 상세한 설명은 생략한다. 제 2 실시예의 웨이퍼 지지 장치(114)에서의 리프트 기구(134)는, C형 리프트 링(132)상에 3개의 고리부재(133)를 구비하고 있다. 고리 부재(133)가 위치하는 리프트 링(132)의 부위에는, 고리 부재(133)가 놓여지는 오목부가 형성되어 있으며, 고리부재(133)가 이 오목부에 끼워진 상태(도 7A 참조)에서는, 제 1 실시예의 리프트 링(32)와 실질적으로 동일한 형상을 이룬다. 또한, 고리 부재(133)는 리프트부(36)와 인접한 위치에 배치되어 있다. 따라서, 고리 부재(133)는, 리프트부(36)의 수와 동일한 3개이다.
리프트 링(132)에는, 리프트 핀(48)의 상단이 접하는 위치에 관통공(60)이 형성되어 있다. 이 관통공(60)은, 리프트 핀(48)의 상단부에 형성된 플랜지부(62)를 수용하는데, 리프트 핀(48)에 의해 들어올려질 수 있도록, 그 상단부에는 내측을 향한 플랜지(64)가 형성되어 있다.
한편, 고리 부재(133)에는, 대응하는 위치에 스폿 페이싱 구멍(66)이 형성되어 있다. 이 스폿 페이싱 구멍(66)의 내부 직경은, 리프트 핀(48)의 상단의 외부 직경과 실질적으로 동일하다. 또한, 스폿 페이싱 구멍(66)을 둘러싸는 하면 부분에는 원통형상 돌기(68)가 형성되어 있다. 이 원통형상 돌기(68)는, 고리 부재(133)를 리프트 링(132)에 겹쳤을 때, 리프트 링(133)의 관통공(60)에 끼워지도록 되어 있다.
이와 같은 구성에서, 리프트 핀(48)을 내린 상태에서는, 도 7A에 도시한 바와 같이, 고리 부재(133)는 리프트 링(132)에 서로 겹쳐져, 도 3A와 실질적으로 동일한 상태가 된다. 즉, 관통공(46)은 리프트 링(132)에 의해 덮여져 폐쇄된 상태가 된다.
리프트 핀(48)을 상승시키면, 고리 부재(133)의 원통형상 돌기(68)가 리프트 핀(48)의 플랜지부(62)에 의해 먼저 밀어올려진다. 이에 따라, 고리 부재(133)만이 상승하여, 리프트 링(132)으로부터 분리된다. 이 상태에서는, 리프트 부재(36)의 상면과 고리 부재(133)의 상면 사이의 단차가 더욱 커져, 웨이퍼(W)의 수평 방향의 이동을 방지하는 효과가 증대한다. 따라서, 도 3A 및 도 3B에 도시한 바와 같은 돌기(52)를 설치할 필요가 없어진다. 또한, 리프트 핀을 상승시키면, 리프트 핀(48)의 플랜지부(62)가 관통공(60)의 플랜지(64)의 하면에 접하여, 고리 부재(133)와 리프트 링(132)이 일체적으로 상승한다. 기타의 작용은 제 1 실시예와 동일하다.
이상, 본 발명의 바람직한 실시예에 대해 설명하였으나, 본 발명은 상기 실시예에 한정되는 것이 아님은 물론이다. 예를 들면, 상기 실시예의 반도체 제조 장치는 에피택셜 성장 장치이나, 다른 열처리를 행하는 것, 예컨대 열 CVD장치 등에도 본 발명을 적용할 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 웨이퍼를 서셉터 등의 웨이퍼 지지 본체에 지지시키거나, 혹은 그 반대로 웨이퍼 지지 본체로부터 들어올리는 경우, 웨이퍼는 그 외측 원주 하측 가장자리에 의해서만 지지된 상태에서 상하 운동되므로, 웨이퍼의 이면에 상처가 나는 일이 없다. 또한, 본 발명의 웨이퍼 지지 장치라 하더라도, 웨이퍼의 외측 원주 하측 가장자리에 상처가 날 가능성이 있기는 하지만, 이 부분에서의 상처는 특별히 문제가 될만한 것이 아니다.
또한, 리프트 핀을 통과시키기 위한 관통공이 리프트 링에 의해 폐쇄되므로, 관통공에 기인하는 웨이퍼 지지 영역의 온도 분포에 대한 악영향을 감소시킬 수 있게 되어, 양호한 프로세스 결과가 얻어지게 되므로, 반도체 디바이스의 수율 및 성능 향상에 기여할 수 있다.

Claims (8)

  1. 상부 및 하부의 각각에 열원을 갖는 반도체 제조 장치의 처리 챔버내에 설치되고, 웨이퍼를 지지하기 위한 지지 영역을 상면에 갖는 웨이퍼 지지 본체와,
    상기 웨이퍼 지지 본체의 상기 지지 영역의 외측으로부터 상기 지지 영역의 내측으로 연장되며, 내측을 향해 하방으로 경사진 경사면을 상면에 가지며, 상기 웨이퍼 지지 본체의 상기 상면보다도 하측의 위치와 상측의 위치 사이에서 상하 운동 가능하게 되어 있는 복수의 리프트부와,
    상기 지지 영역의 외측에 배치된 원호 형상을 가지며, 내측 원주 가장자리에 상기 리프트부가 일체적으로 형성되어 있는 상기 리프트 링과,
    상단부가 상기 리프트 링에 접속되고, 상기 웨이퍼 지지 본체에 형성된 관통공을 통해 상하 운동되는 리프트 핀을 구비하며,
    상기 리프트 핀의 하강시에 상기 관통공이 상기 리프트 링에 의해 덮여져 실질적으로 폐쇄되도록 되어 있는 웨이퍼 지지 장치.
  2. 제 1 항에 있어서, 상기 리프트 핀의 하측에 배치된, 상기 리프트 핀을 상하 운동시키기 위한 구동 수단을 더 포함하는 웨이퍼 지지 장치.
  3. 제 2 항에 있어서, 상기 리프트 핀과 상기 구동 수단이 분리 가능하게 되어 있는 웨이퍼 지지 장치.
  4. 제 1 항에 있어서, 상기 관통공이 웨이퍼 지지 본체의 직경 방향으로 연장되는 긴 구멍으로 형성되어 있는 웨이퍼 지지 장치.
  5. 제 1 항에 있어서, 상기 리프트 링은 상기 리프트부에 인접한 위치에 상하 운동 가능하게 배치된 고리 부재를 가지고 있으며, 상기 리프트 링을 들어올린 상태로 하였을 경우, 상기 고리 부재가 상기 리프트 링으로부터 분리되어 더욱 들어올려지도록 되어 있는 웨이퍼 지지 장치.
  6. 제 1 항에 있어서, 상기 리프트부 상면의, 상기 지지 영역의 둘레 방향을 따른 단면 형상이 상방으로 볼록하게 만곡되어 있는 웨이퍼 지지 장치.
  7. 제 1 항에 있어서, 상기 웨이퍼 지지 본체가 회전 가능한 웨이퍼 지지 장치.
  8. 제 7 항에 있어서, 상기 반도체 제조 장치가 에피택셜 성장 장치인 웨이퍼 지지 장치.
KR1020017016802A 2000-04-28 2001-04-26 반도체 제조 장치에서의 웨이퍼 지지 장치 KR20020026480A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00131195 2000-04-28
JP2000131195A JP2001313329A (ja) 2000-04-28 2000-04-28 半導体製造装置におけるウェハ支持装置
PCT/JP2001/003632 WO2001084622A1 (fr) 2000-04-28 2001-04-26 Dispositif de support de tranche, de dispositif de fabrication de semiconducteur

Publications (1)

Publication Number Publication Date
KR20020026480A true KR20020026480A (ko) 2002-04-10

Family

ID=18640138

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017016802A KR20020026480A (ko) 2000-04-28 2001-04-26 반도체 제조 장치에서의 웨이퍼 지지 장치

Country Status (5)

Country Link
US (1) US20030015141A1 (ko)
EP (1) EP1289006A1 (ko)
JP (1) JP2001313329A (ko)
KR (1) KR20020026480A (ko)
WO (1) WO2001084622A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140080455A (ko) * 2012-12-20 2014-06-30 램 리서치 아게 웨이퍼 형상 물품들의 액체 처리를 위한 장치 및 그에서의 사용을 위한 액체 제어링
CN110364409A (zh) * 2018-04-09 2019-10-22 Asm知识产权私人控股有限公司 衬底支撑设备、包含其的衬底处理设备以及衬底处理方法
KR20220109478A (ko) * 2015-01-09 2022-08-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 메커니즘들

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6799940B2 (en) * 2002-12-05 2004-10-05 Tokyo Electron Limited Removable semiconductor wafer susceptor
US7127367B2 (en) 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US20080090309A1 (en) * 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR100903014B1 (ko) 2007-11-12 2009-06-17 주식회사 테스 기판지지장치
KR100968813B1 (ko) * 2007-12-27 2010-07-08 세메스 주식회사 지지유닛 및 상기 지지유닛의 기판 처리 방법, 그리고 상기지지유닛을 구비하는 베이크 장치
KR20100126545A (ko) * 2008-03-25 2010-12-01 오씨 외를리콘 발처스 악티엔게젤샤프트 프로세싱 챔버
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
JP5412759B2 (ja) * 2008-07-31 2014-02-12 株式会社Sumco エピタキシャルウェーハの保持具及びそのウェーハの製造方法
US7964038B2 (en) * 2008-10-02 2011-06-21 Applied Materials, Inc. Apparatus for improved azimuthal thermal uniformity of a substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120148760A1 (en) * 2010-12-08 2012-06-14 Glen Eric Egami Induction Heating for Substrate Processing
KR101273635B1 (ko) * 2011-03-09 2013-06-17 주식회사 탑 엔지니어링 척 구조체 및 이를 이용한 반도체 기판 처리 장치
WO2012134663A2 (en) * 2011-03-16 2012-10-04 Applied Materials, Inc Method and apparatus utilizing a single lift mechanism for processing and transfer of substrates
DE102011007682A1 (de) * 2011-04-19 2012-10-25 Siltronic Ag Suszeptor zum Abstützen einer Halbleiterscheibe und Verfahren zum Abscheiden einer Schicht auf einer Vorderseite einer Halbleiterscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5551831B2 (ja) * 2011-07-05 2014-07-16 エピクルー株式会社 サセプタ装置及びこれを備えた成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
WO2013099063A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 基板熱処理装置
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9401271B2 (en) * 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101432916B1 (ko) * 2013-01-04 2014-08-21 주식회사 엘지실트론 웨이퍼 리프트 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6428358B2 (ja) * 2015-02-20 2018-11-28 株式会社Sumco エピタキシャル成長装置及びサセプタサポートシャフト
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3275008B1 (en) * 2015-03-25 2022-02-23 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
JP6424726B2 (ja) 2015-04-27 2018-11-21 株式会社Sumco サセプタ及びエピタキシャル成長装置
TWI615917B (zh) 2015-04-27 2018-02-21 Sumco股份有限公司 承托器及磊晶生長裝置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015223807A1 (de) * 2015-12-01 2017-06-01 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht in einer Abscheidekammer, Vorrichtung zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht und Halbleiterscheibe mit epitaktischer Schicht
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6403100B2 (ja) * 2016-01-25 2018-10-10 信越半導体株式会社 エピタキシャル成長装置及び保持部材
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105575800A (zh) * 2016-02-26 2016-05-11 上海华力微电子有限公司 一种晶圆托环及具有该晶圆托环的反应腔室
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
JP6536463B2 (ja) * 2016-04-21 2019-07-03 株式会社Sumco エピタキシャル成長装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
DE102016212780A1 (de) * 2016-07-13 2018-01-18 Siltronic Ag Vorrichtung zur Handhabung einer Halbleiterscheibe in einem Epitaxie-Reaktor und Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI766897B (zh) 2016-11-09 2022-06-11 美商東京威力科創Fsi股份有限公司 用於處理一微電子基板的設備及其方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
CN110050336B (zh) * 2016-12-07 2023-05-23 东京毅力科创Fsi公司 用于制造半导体装置的晶片边缘提升销设计
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018140789A1 (en) 2017-01-27 2018-08-02 Tel Fsi, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) * 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019103722A1 (en) * 2017-11-21 2019-05-31 Lam Research Corporation Bottom and middle edge rings
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) * 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
TW201939572A (zh) 2018-02-19 2019-10-01 美商東京威力科創Fsi股份有限公司 具有可控制噴束尺寸之處理噴霧的微電子處理系統
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11545387B2 (en) 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP2020027817A (ja) * 2018-08-09 2020-02-20 東京エレクトロン株式会社 基板処理装置および基板処理方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102019132933A1 (de) * 2018-12-10 2020-06-10 Showa Denko K.K. Suszeptor und vorrichtung zur chemischen gasphasenabscheidung
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220235459A1 (en) * 2019-06-18 2022-07-28 Lam Research Corporation Reduced diameter carrier ring hardware for substrate processing systems
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN111508805B (zh) * 2020-04-07 2023-12-22 北京北方华创微电子装备有限公司 半导体设备中的晶片升降结构及半导体设备
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS631044A (ja) * 1986-06-20 1988-01-06 Hitachi Electronics Eng Co Ltd 気相反応装置
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
JP2821088B2 (ja) * 1994-03-24 1998-11-05 川崎製鉄株式会社 ウェーハ載置台

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140080455A (ko) * 2012-12-20 2014-06-30 램 리서치 아게 웨이퍼 형상 물품들의 액체 처리를 위한 장치 및 그에서의 사용을 위한 액체 제어링
KR20220109478A (ko) * 2015-01-09 2022-08-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 메커니즘들
CN110364409A (zh) * 2018-04-09 2019-10-22 Asm知识产权私人控股有限公司 衬底支撑设备、包含其的衬底处理设备以及衬底处理方法

Also Published As

Publication number Publication date
WO2001084622A1 (fr) 2001-11-08
EP1289006A1 (en) 2003-03-05
JP2001313329A (ja) 2001-11-09
US20030015141A1 (en) 2003-01-23

Similar Documents

Publication Publication Date Title
KR20020026480A (ko) 반도체 제조 장치에서의 웨이퍼 지지 장치
JP3234576B2 (ja) 半導体製造装置におけるウェハ支持装置
US10269614B2 (en) Susceptor design to reduce edge thermal peak
EP1235257B1 (en) Semiconductor-manufacturing apparatus
JP5189294B2 (ja) オートドーピングおよび裏面堆積を減少させるための基板支持システム
KR102426601B1 (ko) 에피 챔버에서의 기판 열 제어
JP6112474B2 (ja) ウェーハ昇降装置、エピタキシャルウェーハの製造方法
KR20220065102A (ko) 서셉터의 정렬을 위한 장치 및 방법
JP2009087989A (ja) エピタキシャル成長膜形成方法
KR20060060735A (ko) 실리콘 에피택셜 웨이퍼의 제조방법 및 실리콘 에피택셜웨이퍼
JP5098873B2 (ja) 気相成長装置用のサセプタ及び気相成長装置
JP2002151412A (ja) 半導体製造装置
KR102669071B1 (ko) 기상 성장 장치
JP2004119859A (ja) サセプタ、半導体ウェーハの製造装置及び製造方法
JP2006049503A (ja) エピタキシャル成長装置
JP3357311B2 (ja) 半導体製造装置におけるウェハ支持装置
WO2001031700A1 (fr) Porte-plaquette et dispositif de croissance epitaxiale
KR20230138547A (ko) 열 전달이 개선된 편평한 포켓 서셉터 설계
JP2002057210A (ja) ウェハ支持装置及び半導体製造装置
JP2018022724A (ja) サセプタサポートシャフト及びエピタキシャル成長装置
JP5218148B2 (ja) 半導体製造装置
JP2006124758A (ja) サセプタ、エピタキシャルウェーハの製造装置、およびエピタキシャルウェーハの製造方法
TW202419681A (zh) 單件式或兩件式基座
KR20220079463A (ko) 고성능 서셉터 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application