KR101994305B1 - GeO2의 원자층 증착 - Google Patents

GeO2의 원자층 증착 Download PDF

Info

Publication number
KR101994305B1
KR101994305B1 KR1020130106028A KR20130106028A KR101994305B1 KR 101994305 B1 KR101994305 B1 KR 101994305B1 KR 1020130106028 A KR1020130106028 A KR 1020130106028A KR 20130106028 A KR20130106028 A KR 20130106028A KR 101994305 B1 KR101994305 B1 KR 101994305B1
Authority
KR
South Korea
Prior art keywords
precursor
alkyl
geo
substrate
independently selected
Prior art date
Application number
KR1020130106028A
Other languages
English (en)
Other versions
KR20140031811A (ko
Inventor
라이야 에이치. 마테로
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140031811A publication Critical patent/KR20140031811A/ko
Application granted granted Critical
Publication of KR101994305B1 publication Critical patent/KR101994305B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

게르마늄 옥사이드 박막을 형성하는 원자층 증착 방법이 제공된다. 몇몇 구현예에서 상기 ALD 방법은 하기 단계들을 포함할 수 있다: 상기 기재를 기상의 4가 Ge 전구체와 접촉시켜 최대 1개의 상기 Ge 전구체의 단분자층이 상기 기재 표면 위에 형성되도록 하는 접촉단계; 과잉 Ge 전구체 및 반응 부산물이 있는 경우, 이들을 제거하는 단계; 상기 기재 표면 위에서 상기 Ge 전구체와 반응하는 기상의 산소 전구체와 상기 기재를 접촉시키는 단계; 과잉 산소 전구체 및 가스 부산물을 제거하는 단계; 및 목표 두께의 게르마늄 옥사이드 박막이 형성될 때까지 상기 접촉단계들 및 제거단계들을 반복하는 단계.

Description

GeO2의 원자층 증착{Atomic layer deposition of GeO2}
본 출원은 "GeO2의 원자층 증착"의 명칭으로 2012년 9월 5일에 출원된 미국 가출원 일련번호 61/697,007, 및 "GeO2의 원자층 증착"의 명칭으로 2012년 10월 12일에 출원된 미국 가출원 일련번호 61/713,082의 이익을 청구한다. 상기 모든 인용된 출원들의 내용은 모두 인용에 의하여 본 명세서에 통합된다.
본 출원은 GeO2 막을 형성하는 원자층 증착 방법에 관한 것이다.
Ge 장치는 Ge의 높은 정공 이동도 때문에 흥미롭다. HfO2 HK 물질과의 낮은 Dit 계면 형성은 양호한 Ge계 FinFET를 허용할 것이다.
본 발명의 일 구현예는 반응 챔버 내에서 기재 위에 게르마늄 옥사이드 박막을 형성하는 원자층 증착 (ALD) 방법을 제공한다.
본 개시의 몇몇 구현예들에 따르면, 반응 챔버내에서 기재 위에 게르마늄 옥사이드 박막을 형성하는 원자층 증착 공정(ALD 공정)이 개시된다. 상기 ALD 공정은 기재를 기상의 4가 Ge 전구체와 접촉시키는 단계, 과잉 Ge 전구체 및 반응 부산물을 제거하는 단계, 상기 기재를 기상의 산소 전구체와 접촉시키는 단계, 과잉 산소 전구체 및 가스 부산물을 제거하는 단계, 및 목표 두께의 게르마늄 옥사이드 박막이 형성될 때까지 상기 접촉단계들 및 제거단계들을 반복하는 단계를 포함할 수 있다. 몇몇 구현예에서, 상기 기재를 기상의 4가 Ge 전구체와 접촉시키는 단계는 상기 기재 표면 위에 최대 1개의 Ge 전구체의 단분자층(molecular monolayer)의 형성을 가져온다. 몇몇 구현예에서, 상기 산소 전구체는 상기 기재 표면 위에서 상기 Ge 전구체와 반응한다.
본 개시의 몇몇 구현예에 따르면, Ge 전구체 및 산소 전구체를 사용하여 게르마늄 옥사이드 박막을 형성하는 ALD 공정에서, 과잉 Ge 전구체를 제거하는 단계는 상기 기재 표면 및 상기 기재 표면의 부근으로부터 과잉 Ge 전구체를 제거하는 단계를 포함한다. 몇몇 구현예에서, 상기 산소 전구체는 물이 아닌 무엇이다. 몇몇 구현예에서, 상기 산소 전구체는 오존, 산소 원자, 산소 라디칼, 또는 산소 플라즈마 중의 하나이다. 몇몇 구현예에서, 상기 Ge-전구체는 할라이드가 아니다. 몇몇 구현예에서, 상기 Ge-전구체는 적어도 하나의 알콕사이드 리간드를 포함한다. 몇몇 구현예에서, 상기 Ge-전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함한다. 몇몇 구현예에서, 상기 Ge-전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함하고, 상기 산소 전구체는 물을 포함한다.
본 개시의 몇몇 구현예에 따르면, 기재 위에 게르마늄 옥사이드 박막을 형성하는 ALD 공정에서, 상기 기재의 표면은 상기 ALD 공정을 시작하기 전에 GeO2의 박층을 포함한다. 몇몇 구현예에서, 상기 기재는 게르마늄 옥사이드막이 증착되기 전에 부동태화제 (passivation chemical)로 전처리되어 산화를 방지한다. 몇몇 구현예에서, 상기 게르마늄 옥사이드 박막이 증착되기 전에 계면층이 상기 기재 위에 형성된다. 몇몇 구현예에서, 상기 증착 온도는 약 100℃ 내지 약 400℃이다. 몇몇 구현예에서, 상기 게르마늄 옥사이드 박막을 형성하기 전에 상기 기재가 처리되어 자연 Ge 옥사이드 (native Ge oxide)를 제거한다.
ALD 공정에 의해 게르마늄 옥사이드 박막을 형성하는 몇몇 구현예들은 상기 게르마늄 옥사이드 박막 위에 상이한 물질의 박층을 증착하는 단계를 포함한다. 몇몇 구현예에서, 상기 상이한 물질의 박층은 상기 게르마늄 옥사이드 박막 위에 직접 증착된다. 몇몇 구현예에서, 상기 박층은 Al2O3를 포함하고, 몇몇 구현예에서, 상기 Al2O3 층은 반응물로서 물을 사용하지 않는 공정에 의해 증착된다. 몇몇 구현예에서, 상기 게르마늄 옥사이드 박막은 상기 기재 및 고-k 층 사이의 중간층으로 사용된다. 또한 몇몇 구현예에서, 상기 게르마늄 옥사이드 박막은 Ge-응축 공정에 사용될 수 있다.
몇몇 구현예에 따르면, 원자층 증착 공정이 순수 GeO2 박막을 형성하기 위해 사용되며, 상기 공정은 기재를 Ge(OCH2CH3)4 및 O3와 번갈아 연속적으로 접촉시키는 단계를 포함할 수 있다.
몇몇 구현예에 따르면, 원자층 증착 공정이 순수 GeO2 박막을 형성하기 위해 개시되며, 상기 공정은 기재를 알킬아민 Ge 전구체 및 산소 공급원과 번갈아 연속적으로 접촉시키는 단계를 포함할 수 있다. 몇몇 구현예에서, 상기 Ge 전구체는 TDMAGe이고, 상기 산소 공급원은 오존이다. 또한 몇몇 구현예에서, 상기 Ge 전구체는 TDMAGe이고, 상기 산소 공급원은 물이다. 몇몇 구현예에서, 상기 산소 공급원은 물이다.
본 발명의 일 구현예에 따른 원자층 증착 공정은, 낮은 온도에서 높은 등각성을 제공하는 잇점을 갖는다.
본 발명은 상세한 설명 및 첨부된 도면들로부터 이해될 것이며, 상기 상세한 설명 및 첨부된 도면은 본 발명을 예시하는 것으로 의도된 것이며 본 발명을 제한하는 것으로 의도된 것은 아니다:
도 1은 150℃ ~ 300℃에서 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 GeO2막의 성장 속도를 증착 온도의 함수로서 도시한다;
도 2는 몇몇 구현예에 따라 제조된 두 웨이퍼 각각의 두께의 2개의 컴퓨터 영상을 도시한다.
도 3은 150℃ ~ 300℃에서 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 GeO2막 두께 불균일도(non-uniformity)를 증착 온도의 함수로서 도시한다;
도 4는 250℃에서 Ge(OEt)4 및 O3의 증기 펄스를 사용할 경우 GeO2막 두께를증착 사이클 수의 함수로서 도시한다;
도 5는 다양한 온도에서 ALD에 의해 증착된 GeO2의 조성을 보여 준다.
도 6a는 제1 배율로 ALD에 의해 Ge 위에 증착된 GeO2막을 보여 준다. 이후, HfO2가 상기 GeO2 위에 증착되었다;
도 6b는 제2 배율로 ALD에 의해 Ge 위에 증착된 GeO2막을 보여 준다. 이후, HfO2가 상기 GeO2 위에 증착되었다;
도 7a는 HF-최종 Si (HF-last Si) 위에서 300℃에서 Ge(OEt)4 및 O3의 증기 펄스를 사용할 경우 GeO2막 두께를 증착 사이클 수의 함수로서 도시한다;
도 7b는 HF-최종 Ge (HF-last Ge) 위에서 300℃에서 Ge(OEt)4 및 O3의 증기 펄스를 사용할 경우 GeO2막 두께를 증착 사이클 수의 함수로서 도시한다;
도 8a는 ALD (GeO2 및 Al2O3)에 의해 증착된 두 층의 투과전자현미경 (TEM) 화상을 보여 주며, 상기 Al2O3는 TMA + O3- 공정을 사용하여 증착되었다.
도 8b는 Al2O3가 TMA + H2O 공정을 사용하여 증착되었을 경우, 3개의 혼합층 (Al, Ge, 및 O)의 TEM 화상을 보여 준다.
도 9a는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 증착될 경우 GeO2 막 등각성(conformality)를 도시하는 제1 배율의 주사전자현미경 (SEM)으로부터의 화상을 보여 준다.
도 9b는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 증착될 경우 GeO2 막 등각성을 예시하는 제2 배율의 SEM으로부터의 화상을 보여 준다.
도 10는 250 ℃의 반응 온도에서 성장될 경우 ALD GeO2/ ALD HfO2 스택막 등각성을 도시한다. 상기 GeO2의 목표 두께는 약 30 nm이었다.
도 11은 p-도프 Ge 및 n-도프 Ge 위에 성장된 ALD GeO2 중간층의 C-V 특성을 도시한다. 상기 GeO2 중간층은 Ge(OEt)4 및 O3의 증기 펄스로부터 반응 온도 250 ℃에서 성장되었다.
도 12는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 상이한 온도에서 ALD에 의해 성장된 GeO2 중간층의 C-V 특성을 도시한다.
도 13a는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 250 ℃의 반응 온도에서 ALD에 의해 성장되고 ALD Al2O3 캡핑층을 갖는 GeO2 중간층의 C-V 특성을 도시한다.
도 13b는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 250 ℃의 반응 온도에서 ALD 에 의해 성장되고 ALD Al2O3 캡핑층이 없는 GeO2 중간층의 C-V 특성을 도시한다.
도 14a는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 반응 온도 250 ℃에서, HF-세정과 함께 Ge-기재 위에 ALD 에 의해 성장된 GeO2 중간층의 C-V 특성을 도시한다.
도 14b는 Ge(OEt)4 및 O3의 증기 펄스를 사용하여 반응 온도 250 ℃에서, HF-세정 없이 Ge-기재 위에 ALD에 의해 성장된 GeO2 중간층의 C-V 특성을 도시한다.
일 측면에서, 원자층 증착에 의해 GeO2 박막을 증착시키는 공정들이 제공된다. 몇몇 구현예에서 기재를 기상의 게르마늄 전구체 및 산소 반응물과 번갈아 연속적으로 접촉시키는 단계를 포함하는 증착 공정에 의해 기재 위에 GeO2 박막이 형성되고, 이 경우 상기 게르마늄 전구체의 층이 상기 기재 표면 위에 형성되며, 상기 산소-함유 반응물은 상기 게르마늄 전구체와 연속적으로 반응하여 GeO2 박막을 형성한다.
몇몇 구현예에서 상기 Ge 전구체는 게르마늄 에톡사이드 (GeOEt)4 및 테트라키스(디메틸아미노) 게르마늄 (TDMAGe)로부터 선택될 수 있다. 다른 가능한 게르마늄 전구체들이 아래에 제공된다. 몇몇 구현예에서 상기 Ge 전구체는 할라이드가 아니다. 몇몇 구현예에서, 상기 Ge 전구체는 적어도 하나의 리간드에서 할라이드를 포함하지만, 모든 리간드에서 할라이드를 포함하지는 않는다.
몇몇 구현예에서 상기 산소 반응물은 오존, 산소 원자, 산소 라디칼, 및 산소 플라즈마 중의 하나 이상을 포함한다. 몇몇 구현예에서 상기 산소 반응물은 물일 수 있다. 그러나, 다른 구현예들에서 상기 산소 반응물은 물이 아니다.
몇몇 구현예에서, GeO2 박막은 기재를 Ge(OCH2CH3)4 및 O3와 번갈아 연속적으로 접촉시켜 증착된다. 몇몇 구현예에서 GeO2 박막은 기재를 테트라키스(디메틸아미노) 게르마늄 (TDMAGe) 및 O3와 번갈아 연속적으로 접촉시켜 증착된다.
GeO2막은, 예를 들어, 반도체 장치에서 고-k 및 신규 채널 물질 사이의 계면층으로 사용될 수 있다. 예를 들어, 상기 GeO2 층은 Ge계 FinFET에서 계면으로 사용될 수 있다. 몇몇 구현예에서 상기 GeO2 층은 Ge 및 고-k 물질 사이의 계면층이다. 상기 GeO2 계면층은 누설을 방지하고 트랩 밀도를 감소시킬 수 있다. GeO2 박막이 사용될 수 있는 다른 상황들은 통상의 기술자에게 명확할 것이다. 예를 들어, GeO2 박막은 광학적 응용에서 용도를 발견할 수 있다. 몇몇 구현예에서, ALD 공정에 의해 증착된 GeO2 막은 응용에 따라 원하는 만큼의 증착 후 어닐링된다.
일 구현예에서, ALD에 의해 증착된 GeO2 막은 Ge-응축으로 지칭되는 공정을 위해 사용될 수 있다. 이의 원리는, 예를 들어, 미국특허공개 2011/0147811 (도 3a 및 3b 참조) 및 2011/0193178 (단락 [0020] 참조, 이는 인용에 의하여 본 명세서에 통합됨)로부터 보여지고 이해될 수 있다. GeO2 막을 Si1 - xGex/SiO2의 계면에 첨가함으로써, 보다 많은 Ge가 핀 또는 채널 물질로 이동하는 것이 가능할 것이다. 이 경우 Ge가 핀 또는 채널로 이동되는 어닐링 단계 이전에, 다른 막 (즉, "캡핑층"), 바람직하게는 ALD-증착 또는 PEALD-증착 Al2O3, SiNx, 또는 SiO2와 같은 ALD 또는 PEALD에 의해 증착된 막으로 상기 ALD-증착 GeO2막을 캡핑하는 것이 바람직하다. 이러한 Ge-응축의 응용에서, 물은 상기 ALD GeO2 공정의 산소 공급원으로 사용될 수 있다. 몇몇 구현예에서, 상기 GeO2는 캡핑층을 추가로 증착시키지 않고 ALD 공정에 의해 실리콘 핀 위에 증착된다. 몇몇 구현예에서, 상기 GeO2는 캡핑층을 추가로 증착시키지 않고 ALD 공정에 의해 Si1 - xGex 핀 위에 증착된다. 몇몇 구현예에서, 상기 GeO2는 ALD 공정에 의해 실리콘 핀 위에 증착되고 캡핑층이 상기 GeO2 층 위에 증착된다. 몇몇 구현예에서, 상기 GeO2는 ALD 공정에 의해 Si1 - xGex 핀 위에 증착되고, 이후 캡핑층이 상기 GeO2 층 위에 증착된다. 몇몇 구현예에서, 상기 캡핑층은 SiO2이다. 몇몇 구현예에서, 상기 캡핑층은 SiNx이다. 몇몇 구현예에서, 상기 캡핑층은 Al2O3이다. 몇몇 구현예에서, 상기 캡핑층 ALD 또는 PEALD 공정이 아닌 공정들에 의해 증착된다. 몇몇 구현예에서, 상기 캡핑층은 ALD 공정에 의해 증착된다. 몇몇 구현예에서 상기 캡핑층은 PEALD 공정에 의해 증착된다.
몇몇 구현예에서 ALD에 의해 증착된 GeO2막은 순수 GeO2막이다. 따라서, 증착된 GeO2는 열산화에 의해 형성된 GeO2 보다 더 좋은 계면층을 생성할 수 있다.
원자층 증착은 GeO2막의 등각(conformal) 증착을 허용한다. 몇몇 구현예에서, ALD에 의해 증착된 GeO2막은 적어도 90%, 95% 또는 그 이상의 등각성을 갖는다. 몇몇 구현예에서 상기 막은 약 100% 등각이다.
상기 기재는, 예를 들어, 반도체 기재일 수 있다. 몇몇 구현예에서 상기 기재의 표면은 III족 또는 IV족 화합물을 포함한다. 예를 들어, 몇몇 구현예에서 상기 기재의 표면은 Ge를 포함한다. 몇몇 구현예에서 상기 기재의 표면은 GeO2 박층을 포함한다. 상기 GeO2 층은, 예를 들어, 열 또는 플라즈마 산화를 통해 형성될 수 있다. 몇몇 구현예에서 상기 기재 표면은 H로 종결된다(H-terminated). 몇몇 구현예에서 자연 Ge 옥사이드는, ALD에 의한 GeO2 증착 이전에, 예를 들어, HF로 제거된다.
상기 기재는 ALD에 의해 GeO2 층을 증착시키기 전에 처리될 수 있다. 예를 들어, 상기 기재는 ALD에 의해 GeO2를 증착시키기 전에 부동태화제로 처리되어 산화를 방지할 수 있다. 다른 구현예들에서 상기 기재는 ALD에 의해 GeO2를 증착시키기 전에 계면층을 형성하도록 처리될 수 있다. 예를 들어, 상기 기재 처리는 GeO2 증착 전에 상기 기재를 트리메틸알루미늄 (TMA)에 노출시켜 표면 위에 계면층 또는 표면 종결(termination)을 형성하는 단계를 포함할 수 있다. 위에서 언급된 것처럼, 몇몇 구현예에서 상기 기재는 ALD에 의해 GeO2를 증착시키기 전에, 자연 Ge 옥사이드를 제거하기 위하여, 예를 들어 HF로 처리될 수 있다.
몇몇 구현예에서, GeO2 증착 이후, 추가적인 막이 증착된다. 상기 추가적인 막은 상기 ALD-증착 GeO2 층 바로 위에 존재하여 상기 ALD-증착 GeO2 층과 접촉할 수 있다. 몇몇 구현예에서 고-k막이 상기 ALD-증착 GeO2가 증착된 후에 증착된다. 상기 고-k 층 또는 다른 막은 ALD 또는 다른 알려진 증착 공정에 의해 증착될 수 있다. 몇몇 구현예에서 HfO2 층이 상기 GeO2 층 위에 증착된다. 몇몇 구현예에서 Al2O3 층이 상기 GeO2 층 위에 증착된다. 특정한 이론에 한정되는 것은 아니지만, GeO2 층의 상부에 증착된 층의 증착 과정에서, 물은, 몇몇 상황에서, 이미 증착된 GeO2 층 및 GeO2 층의 상부에 증착된 층의 혼합을 야기할 수 있는 것으로 믿어진다. 몇몇 구현예에서 이러한 혼합은 바람직하다. 다른 구현예들에서, 이러한 혼합은 회피되어야 한다. 따라서, 몇몇 구현예에서 GeO2막의 상부에 막을 증착시키는 증착 공정은 반응물들 중의 하나로서 물을 사용하지 않는다. 몇몇 구현예에서 GeO2막의 상부에 막을 증착시키는 증착 공정은 물이 아닌 산소 공급원을 사용한다. 몇몇 구현예에서, GeO2막의 상부에 막을 증착시키는 증착 공정은 산소 공급원으로서 오존을 사용한다. 몇몇 구현예에서 GeO2막의 상부에 증착된 막의 증착 공정은 산소 공급원으로서 산소 원자, 산소 라디칼 또는 산소 함유 플라즈마를 사용한다. 몇몇 구현예에서, GeO2막의 상부에 증착된 막의 증착 공정은 물을 사용하며, 게르마늄을 포함하는 적어도 하나의 혼합층이 생성된다. Ge 기재가 사용되고 오존 또는 산소 플라즈마가 산소 공급원으로 제공될 경우, 원자 또는 라디칼이 GeO2를 형성하는 첫번째 또는 그 이상의 ALD 사이클 동안 상기 기재를 산화시켜 상기 기재 자체 위에 GeO2의 박층을 형성할 수 있다. 이 상황에서, 상기 GeO2 층은 GeO2 (기재로부터 산화됨)와 ALD-증착 GeO2의 일종의 복합체일 것이다.
몇몇 구현예에서 GeO2 층은 기재 및 고-k 층 사이의 중간층이다. 바람직하게는 GeO2 중간층은 약 10 nm 미만, 보다 바람직하게는 약 5 nm 미만 및 가장 바람직하게는 약 3 nm 미만의 두께를 갖는다. 몇몇 경우에 상기 GeO2 중간층은 두께가 약 2 nm 미만 또는 심지어 약 1 nm 미만이다.
원자층 증착 ( ALD )
위에서 언급된 것처럼, 본 명세서에 개시된 공정은 등각 GeO2 층을 증착시키는 원자층 증착 기술의 사용을 가능하게 한다. 기상 증착 기술 중에서, ALD는 낮은 온도에서 높은 등각성을 제공하는 잇점을 갖는다.
ALD 타입 공정은 전구체 화학물질의 제어된, 자기제한적(self-limiting) 표면 반응에 기초한다. 기상 반응은 상기 전구체를 번갈아 연속적으로 반응 챔버에 공급함으로써 회피된다. 기상의 반응물들은, 예를 들어, 반응물 펄스들 사이에 반응 챔버로부터 과잉 반응물 및/또는 반응물 부산물을 제거함으로써, 상기 반응 챔버 내에서 서로 분리된다.
간단히, 기재가 반응 챔버에 투입되고 일반적으로 감소된 압력에서, 적당한 증착 온도로 가열된다. 증착 온도는 전구체 열분해 온도 미만이지만 충분히 높은 수준으로 유지되어 반응물의 응축을 피하고 목표 표면 반응을 위한 활성화 에너지를 제공한다. 물론, 주어진 ALD 반응을 위한 적당한 온도 창은 관계된 표면 종결 및 반응물 종에 의존할 것이다. 몇몇 구현예에서 상기 증착 온도는 약 20℃ 내지 약 600℃, 바람직하게는 약 100℃ 내지 약 400℃, 및 보다 바람직하게는 약 150℃ 내지 약 300℃이다.
제1 게르마늄 반응물이 기상 펄스의 형태로 챔버내로 투입되어 기재의 표면과 접촉한다. 몇몇 구현예에서 상기 기재 표면은 3차원 구조를 포함한다. 바람직하게는 대략 1개 이하의 게르마늄 전구체의 단분자층이 자기제한적 공정으로 상기 기재 표면 위에 흡착되도록 조건들이 선택된다. 과잉 제1 반응물 및 반응 부산물이 있는 경우, 이들은 상기 기재와 기재 표면 및 상기 기재와 기재 표면의 부근으로부터 제거될 수 있다. 몇몇 구현예에서 반응물 및 반응 부산물이 있는 경우, 이들은 퍼징에 의해 제거될 수 있다. 퍼징은, 예를 들어, 질소 또는 아르곤과 같은 불활성 가스의 펄스로 달성될 수 있다.
반응 챔버를 퍼징하는 것은 진공 펌프로 챔버를 비우거나 및/또는 아르곤 또는 질소와 같은 불활성 가스로 반응기내의 가스를 치환시킴으로써 기상의 전구체 및/또는 기상의 부산물이 반응 챔버로부터 제거되는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 초 내지 약 20 초, 보다 바람직하게는 약 1 초 내지 약 10 초, 및 보다 바람직하게는 약 1 초 내지 약 2 초이다. 그러나, 극히 높은 종횡비 구조 또는 복잡한 표면 모폴로지를 갖는 다른 구조 위에 층을 증착시키는 경우와 같이, 필요한 경우 다른 퍼지 시간이 사용될 수 있다. 적당한 펄싱 시간은 특정한 상황에 기초하여 통상의 기술자에 의해 쉽게 결정될 수 있다.
상기 기재 표면 또는 상기 기재의 부분으로부터 과잉 반응물 - 금속 전구체 또는 산소 전구체, 반응 부산물 등 -을 제거하는 다른 공정은 반응물 및/또는 반응 부산물을 포함하는 위치로부터 상기 기재를 물리적으로 이동시키는 것을 포함한다.
제2 가스상 산소 반응물이 챔버내로 펄싱되어 거기에서 표면 위의 제1 게르마늄 반응물과 반응하여 게르마늄 옥사이드를 형성한다. 상기 표면 반응의 과잉 제2 반응물 및 가스상 부산물은, 예를 들어, 바람직하게는 불활성 가스의 도움으로 이들을 반응 챔버 밖으로 퍼징함으로써 상기 기재로부터 제거된다. 펄싱 및 제거의 단계들은 목표 두께의 박막이 상기 기재 위에 형성될 때까지 반복되며, 각 사이클은 전형적으로 대략 1개 이하의 단분자층을 남긴다.
위에서 언급된 것처럼, 각 사이클의 각 펄스 또는 단계(phase)는 바람직하게는 자기제한적이다. 과잉 반응물 전구체가 각 단계(phase)에 공급되어 감수성(susceptible) 구조 표면을 포화시킨다. 표면 포화는 모든 이용 가능한 반응 사이트의 반응물 점유(예를 들어, 물리적 크기 또는 "입체 장해" 제약에 의존함)를 보장하며 따라서 우수한 단차 피복(step coverage)을 보장한다. 몇몇 배열에서, 자기제한적 거동의 정도는, 예를 들어, 반응물 펄스들의 얼마간의 중복을 허용하여 (얼마간의 CVD-타입 반응을 허용함) 등각성과 증착 속도를 절충함으로써 제어될 수 있다. 반응물들이 시간 및 공간적으로 잘 분리되는 이상적인 ALD 조건은 완벽에 가까운 자기제한적 거동 및 따라서 최대 등각성을 제공하지만, 입체 장해는 사이클당 1개 미만의 분자층을 낳는다. 자기제한적 ALD 반응과 혼합된 제한된 CVD 반응은 상기 증착 속도를 증가시킬 수 있다.
몇몇 구현예에서, 반응 공간은 다중 기재 위의 증착이 동시에 일어나는 단일 웨이퍼 ALD 반응기 또는 회분식 ALD 반응기에 존재할 수 있다. 몇몇 구현예에서 증착이 요구되는, 반도체 피가공물 (workpiece)과 같은 기재가, 반응기에 투입된다. 상기 반응기는 집적회로의 형성에 있어서 다양한 상이한 공정이 수행되는 클러스터 툴(cluster tool)의 부분일 수 있다. 몇몇 구현예에서 흐름형 반응기가 사용된다. 몇몇 구현예에서 대용량 제조 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예들에서 다중 기재를 포함하는 회분식 반응기가 사용된다. 회분식 ALD 반응기가 사용되는 구현예들의 경우, 기재의 수는 바람직하게는 10 내지 200의 범위, 보다 바람직하게는 50 내지 150의 범위, 및 가장 바람직하게는 100 내지 130의 범위이다.
사용될 수 있는 적당한 반응기의 예는 상업적으로 입수 가능한 ALD 장치, 예를 들어, ASM America (Inc of Phoenix, Arizona) 및 ASM Europe B.V. (Almere, Netherlands)에서 입수 가능한, F-120®반응기, F-450 반응기, Pulsar®반응기, 예를 들어, Pulsar®2000 및 Pulsar®3000, EmerALD® 반응기 및 Advance®400 시리즈 반응기를 포함한다. 다른 상업적으로 입수 가능한 반응기는 상표명 Eagle®XP 및 XP8로 ASM Japan K.K (Tokyo, Japan)에서 제조된 것들을 포함한다. 이러한 ALD 반응기들 외에, 적당한 장치를 구비하는 CVD 반응기 및 상기 전구체를 펄싱하는 수단을 포함하는, 박막의 ALD 성장을 가능하게 하는 많은 다른 타입의 반응기들이 사용된다. 몇몇 구현예에서 흐름형 ALD 반응기가 사용된다. 바람직하게는, 반응물들은 반응 챔버에 도달할 때까지 분리된 상태로 유지되어, 상기 전구체들의 공유선(shared line)이 최소화된다. 그러나, 다른 배열들이 가능하다.
적당한 회분식 반응기는, 이에 한정되는 것은 아니지만, ALD 공정을 향상시키도록 특별히 설계된 반응기이며, 이러한 반응기는 상표명 ALDA400™ 및 A412™으로 ASM Europe B.V (Almere, Netherlands)로부터 상업적으로 입수 가능하다. 몇몇 구현예에서 A412™과 같이, 가공 중에 보트(boat)가 회전하는 수직 회분식 반응기가 사용된다. 따라서, 몇몇 구현예에서 웨이퍼가 가공 중에 회전한다. 회분식 반응기가 사용되는 몇몇 구현예에서, 웨이퍼 대 웨이퍼 균일도는 3% (1시그마) 미만, 2% 미만, 1% 미만 또는 심지어 0.5% 미만이다.
본 명세서에 개시된 게르마늄 옥사이드 ALD 공정은 선택적으로 클러스터 툴에 연결된 반응기 또는 반응 공간에서 수행될 수 있다. 클러스터 툴에서, 각 반응 공간은 한 타입의 공정에 전용되기 때문에, 각 모듈에서 반응 공간의 온도가 일정하게 유지될 수 있으며, 이는 내부의 기재가 각 운전 전에 공정 온도로 가열되는 반응기에 비해 처리량(throughput)을 개선한다.
몇몇 구현예에 따르면, 게르마늄 옥사이드 박막은 다중 펄싱 사이클을 포함하는 ALD-타입 공정에 의해 형성되며, 각 사이클은 하기 단계들을 포함한다:
증기화된 제1 Ge 전구체를 반응 챔버내로 펄싱하여 기재 위에 최대 1개의 상기 Ge 전구체의 단분자층을 형성하는 단계,
과잉 Ge 전구체 및 반응 부산물이 있는 경우, 이들을 제거하는 단계,
산소 공급원을 포함하는 제2 산소 반응물의 펄스를 상기 기재 위로 제공하는 단계,
상기 기재의 제1 표면 위의 상기 Ge 전구체 층과 상기 제2 반응물 사이의 반응에서 형성된 과잉 제2 반응물 및 가스 부산물을 제거하는 단계, 및
목표 두께의 게르마늄 옥사이드 박막이 형성될 때까지 상기 펄싱 단계들 및 제거 단계들을 반복하는 단계.
몇몇 구현예에서 게르마늄 옥사이드, 바람직하게는 GeO2는, Ge 전구체, 및 물, 오존, 산소 플라즈마, 산소 라디칼, 또는 산소 원자와 같은 산소 공급원의 교호 및 연속 펄스들로부터 증착된다. 몇몇 구현예에서 상기 산소 공급원은 물이 아니다. 상기 Ge 전구체는 바람직하게는 Ge(OEt)4 또는 TDMAGe를 포함한다.
상기 ALD 타입 공정에 사용되는 Ge 전구체는 표준 조건 (실온 및 대기압) 하에서 고체, 액체, 또는 가스상 물질일 수 있으며, 다만 상기 Ge 전구체는 반응 챔버 내로 투입되어 기재 표면과 접촉되기 전에 기상으로 존재한다. 증기화된 전구체를 상기 기재 위에 "펄싱"한다는 것은 전구체 증기가 제한된 시간 동안 챔버내로 투입되는 것을 의미한다. 전형적으로, 상기 펄싱 시간은 약 0.05 초 내지 약 10 초이다. 그러나, 기재의 타입 및 표면적에 따라, 상기 펄싱 시간은 심지어 약 10 초 초과일 수 있다.
바람직하게는, 단일 웨이퍼 ALD 반응기에서 300 mm 웨이퍼의 경우, 상기 Ge 전구체는 약 0.05 초 내지 약 10 초, 보다 바람직하게는 약 0.1 초 내지 약 5 초 및 가장 바람직하게는 약 0.3 초 내지 약 3.0 초 동안 펄싱된다. 상기 산소 함유 전구체는 바람직하게는 약 0.05 초 내지 약 10 초, 보다 바람직하게는 약 0.1 초 내지 약 5 초, 가장 바람직하게는 약 0.2 초 내지 약 3.0 초 동안 펄싱된다. 그러나, 펄싱 시간은 몇몇 경우에 분 단위일 수 있다. 최적 펄싱 시간은 특정한 상황에 기초하여 통상의 기술자에 의해 쉽게 결정될 수 있다.
위에서 언급된 것처럼, 몇몇 구현예에서 상기 Ge 전구체는 Ge(OEt)4 또는 TDMAGe이다. 몇몇 구현예에서 사용될 수 있는 다른 가능한 게르마늄 전구체들이 아래에 개시된다. 몇몇 구현예에서, 상기 Ge 전구체는 Ge(OMe)4이다. 몇몇 구현예에서 상기 Ge-전구체는 할라이드가 아니다. 몇몇 구현예에서 상기 Ge-전구체는 적어도 하나의 리간드에서 할로겐을 포함할 수 있지만, 모든 리간드에서 할로겐을 포함할 수는 없다.
상기 산소 공급원은 산소 함유 가스 펄스일 수 있으며, 산소, 및 질소 또는 아르곤과 같은 비활성 가스의 혼합물일 수 있다. 몇몇 구현예에서 상기 산소 공급원은 분자 산소 함유 가스 펄스일 수 있다. 상기 산소 공급원 가스 중의 바람직한 산소 함량은 약 10% 내지 약 25%이다. 따라서, 산소의 일 공급원은 공기일 수 있다. 몇몇 구현예에서, 상기 산소 공급원은 분자 산소이다. 몇몇 구현예에서, 상기 산소 공급원은 활성화 또는 여기 산소 종을 포함한다. 몇몇 구현예에서, 상기 산소 공급원은 오존을 포함한다. 상기 산소 공급원은 순수 오존, 또는 오존, 분자 산소, 및 다른 가스, 예를 들어 질소 또는 아르곤과 같은 비활성 가스의 혼합물일 수 있다. 오존은 오존 발생기에 의해 생성될 수 있으며, 가장 바람직하게는 질소와 같은 몇 종류의 불활성 가스의 도움, 또는 산소의 도움으로 반응 공간내로 도입된다. 몇몇 구현예에서, 오존은 약 5 vol% 내지 약 40 vol%, 및 바람직하게는 약 15 vol% 내지 약 25 vol%의 농도로 제공된다. 다른 구현예들에서, 상기 산소 공급원은 산소 플라즈마이다.
몇몇 구현예에서, 오존, 또는 오존 및 다른 가스의 혼합물이 반응 챔버 내로 펄싱된다. 다른 구현예들에서, 오존은, 예를 들어, 아크를 통해 산소 함유 가스를 투입함으로써 반응기내에서 형성된다. 다른 구현예들에서, 산소 함유 플라즈마가 반응기에서 형성된다. 몇몇 구현예에서, 상기 플라즈마는 상기 기재 상부 또는 상기 기재에 가까운 부근에서 인시투로 형성될 수 있다. 다른 구현예들에서, 상기 플라즈마는 원격 플라즈마 발생기에서 반응 챔버의 상류에서 형성되고 플라즈마 생성물은 상기 반응 챔버로 인도되어 기재와 접촉한다. 통상의 기술자에 의해 인식될 것처럼, 원격 플라즈마의 경우, 기재로의 경로가 최적화되어 상기 기재에 도달하기 전에 전기적 중성 종을 최대화하고 이온 생존을 최소화할 수 있다.
몇몇 구현예에서 상기 산소 공급원은 물이 아닌 산소 공급원이다. 따라서, 몇몇 구현예에서 물은 GeO2를 증착시키는 ALD 사이클에 제공되지 않는다.
몇몇 구현예에서 상기 Ge 전구체는 화학식 (2) 내지 (6) 및 (8) 및 (9)에 표시된 것들과 같이, 적어도 하나의 아민 또는 알킬아민 리간드를 포함하고, 상기 산소 전구체는 물을 포함한다.
막의 증착을 시작하기 전에, 기재는, 위에서 논의된 것처럼, 전형적으로 적당한 성장 온도로 가열된다. 바람직한 증착 온도는, 증착되는 물질의 성질을 포함하여, 제한 없이, 반응물 전구체, 압력, 유속, 반응기의 배열, 및 기재의 조성과 같은 많은 인자들에 따라 변할 수 있다.
처리 시간은 생성될 층의 두께 및 막의 성장 속도에 의존한다. ALD에서, 박막의 성장 속도는 한 사이클당 두께 증가로서 결정된다. 한 사이클은 전구체의 펄싱 단계 및 제거 단계로 구성되고 한 사이클의 지속 시간은 전형적으로 약 0.2 초 내지 약 30 초, 보다 바람직하게는 약 1 초 내지 약 10 초이지만, 예를 들어, 큰 표면적 및 부피가 존재하는 몇몇 경우에는 분 또는 그 이상의 단위일 수 있다.
몇몇 구현예에서 상기 형성된 GeO2 막은 순수 GeO2막이다. 바람직하게는, 미량의 불순물을 제외하고는 다른 금속 또는 반금속(semi-metal) 원소들은 상기 막에 존재하지 않는다. 몇몇 구현예에서 상기 막은 Ge이 아닌 금속 또는 반금속 1 at% 미만을 포함한다. 몇몇 구현예에서 상기 GeO2 막은 화학양론적이다. 몇몇 구현예에서, 순수 GeO2막은 약 5 at% 미만의 수소가 아닌 불순물, 바람직하게는 약 3 at% 미만의 수소가 아닌 불순물, 및 보다 바람직하게는 약 1 at% 미만의 수소가 아닌 불순물을 포함한다.
몇몇 구현예에서, 상기 형성된 GeO2 막은 높은 종횡비를 갖는 구조에서 약 80% 초과, 보다 바람직하게는 약 90% 초과, 및 가장 바람직하게는 약 95% 초과의 단차 피복을 갖는다. 몇몇 구현예에서 높은 종횡비 구조는 이 특징의 깊이 또는 높이를 폭과 비교할 경우, 약 3:1 초과의 종횡비를 갖는다. 몇몇 구현예에서 상기 구조는 약 5:1 초과의 종횡비, 또는 심지어 10:1 또는 그 이상의 종횡비를 갖는다.
Ge 전구체
수 많은 상이한 Ge 전구체들이 상기 ALD 공정에 사용될 수 있다. 몇몇 구현예에서 상기 Ge 전구체는 4가 (즉, Ge가 +IV의 산화 상태를 가짐)이다. 몇몇 구현예에서, 상기 Ge 전구체는 2가 (즉, Ge가 +II의 산화 상태를 가짐)가 아니다. 몇몇 구현예에서, 상기 Ge 전구체는 적어도 하나의 알콕사이드 리간드를 포함할 수 있다. 몇몇 구현예에서, 상기 Ge 전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함할 수 있다. 몇몇 구현예에서 상기 Ge 전구체는 금속 유기 또는 유기 금속 화합물이다. 몇몇 구현예에서 상기 Ge 전구체는 적어도 하나의 할라이드 리간드를 포함한다. 몇몇 구현예에서 상기 Ge 전구체는 할라이드 리간드를 포함하지 않는다.
몇몇 구현예에서 상기 Ge 전구체는 실온 (예를 들어, 약 20℃)에서 고체가 아니다.
예를 들어, 하기 화학식 (1) 내지 (9)로부터의 Ge 전구체들이 몇몇 구현예에서 사용될 수 있다.
(1) GeOR4
여기서, R은 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있다;
(2) GeRxA4 -x
여기서, x는 1 내지 4의 정수이고;
R은 유기 리간드이고, 독립적으로 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민으로 이루어진 군으로부터 선택될 수 있고;
A는 독립적으로 알킬, 치환된 알킬, 알콕사이드, 알킬실릴, 알킬, 알킬아민, 할라이드, 및 수소로 이루어진 군으로부터 선택될 수 있다.
(3) Ge(OR)xA4 -x
여기서, x는 1 내지 4의 정수이고;
R은 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있고;
A는 독립적으로 알킬, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민, 할라이드, 및 수소로 이루어진 군으로부터 선택될 수 있다.
(4) Ge(NRIRII)4
여기서, RI은 독립적으로 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있고;
RII는 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있다;
(5) Ge(NRIRII)xA4 -x
여기서, x는 1 내지 4의 정수이고;
RI은 독립적으로 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있고;
RII는 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있고;
A는 독립적으로 알킬, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민, 할라이드, 및 수소로 이루어진 군으로부터 선택될 수 있다.
(6) Gen(NRIRII)2n+2
여기서, n은 1 내지 3의 정수이고;
RI은 독립적으로 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있고;
RII는 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있다.
(7) Gen(OR)2n+2
여기서, n은 1 내지 3의 정수이고;
여기서, R은 독립적으로 알킬 및 치환된 알킬로 이루어진 군으로부터 선택될 수 있다.
(8) GenR2n +2
여기서, n은 1 내지 3의 정수이고;
R은 유기 리간드이고, 독립적으로 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민으로 이루어진 군으로부터 선택될 수 있다.
(9) A3- xRxGe-GeRyA3 -y
여기서, x는 1 내지 3의 정수이고;
Y는 1 내지 3의 정수이고;
R은 유기 리간드이고, 독립적으로 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민으로 이루어진 군으로부터 선택될 수 있고;
A는 독립적으로 알킬, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬, 알킬아민, 할라이드, 및 수소로 이루어진 군으로부터 선택될 수 있다.
R에 대한 바람직한 선택은, 모든 화학식에 대하여, 이에 한정되는 것은 아니지만, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, 터트부틸을 포함하고, 보다 바람직하게는 에틸 및 메틸을 포함한다. 몇몇 구현예에서, R에 대한 바람직한 선택은, 이에 한정되는 것은 아니지만, C3-C10 알킬, 알케닐, 및 알키닐 및 이들의 치환된 형태, 보다 바람직하게는 C3-C6 알킬, 알케닐, 및 알키닐 및 이들의 치환된 형태를 포함한다.
몇몇 구현예에서 상기 Ge 전구체는 하나 이상의 할라이드를 포함한다. 바람직하게는 상기 전구체는 1, 2, 또는 3개의 할라이드 리간드를 포함한다. 그러나, 위에서 언급된 것처럼, 몇몇 구현예에서 상기 ALD 공정에서 사용된 Ge 전구체는 할라이드를 포함하지 않는다.
몇몇 구현예에서, 알콕사이드를 포함하는 Ge 전구체는 ALD 공정에서 물과 함께 사용되지 않는다. 다른 구현예들에서, 아민/알킬아민 또는 Ge-N 결합 함유 Ge 전구체가 물과 함께 사용될 수 있다. 바람직한 알킬아민 Ge 전구체는, 이에 한정되는 것은 아니지만, 테트라키스(디메틸아미노) 게르마늄 (TDMAGe), 테트라키스(디에틸아미노) 게르마늄 (TDEAGe), 및 테트라키스(에틸메틸아미노) 게르마늄 (TEMAGe)을 포함한다. 몇몇 구현예에서 상기 Ge 전구체는 TDMAGe이다. 몇몇 구현예에서 상기 전구체는 TDEAGe이다. 몇몇 구현예에서 상기 전구체는 TEMAGe이다.
실시예
F-450 ALCVD R&D 반응기에서, Ge 전구체로서 게르마늄 에톡사이드 (Ge(OEt)4) 또는 테트라키스(디메틸아미노) 게르마늄 (TDMAGe), 및 산소 공급원으로서 오존 (O3)을 사용하여 약 150℃ 내지 약 300℃ 범위의 온도에서 GeO2막을 증착시켰다. Ge(OEt)4는 55℃에서 약 0.2 Torr의 증기압을 갖는 액체이다. TDMAGe는 50℃에서 약 3 Torr의 증기압을 갖는 액체이다. 펄스/퍼지 시간은 모든 전구체들 Ge(OEt)4, TDMAGe 및 O3에 대하여 3.0 s/6.0 s이었다. 이러한 증착 실험에서 상기 Ge 전구체를 실온으로 유지하였다. O3 유속은 100 sccm이었다. 막 두께는 분광 타원 계측기 및 x선 회절 XRR (Bruker AXS D8 Advance)을 사용하여 측정하였다. 조성은 러더포드 후방산란 분광법 RBS에 의해 결정하였다.
한 세트의 실험에서, 반응기 챔버 내에서 약 150℃ 내지 약 300℃에서 기재를 Ge(OEt)4 및 O3의 증기 펄스들과 번갈아 연속적으로 접촉시켜 GeO2막을 증착시켰다. 이 온도 범위에서 약 0.18 Å/사이클 내지 약 0.3 Å/사이클의 성장 속도를 얻었다 (도 1).
150℃ 내지 300℃의 동일 온도 범위에서 두께 불균일도는 약 3% 내지 약 13% 1-시그마이었으며, 최저 불균일도는 300℃에서 얻어졌다 (도 3). 사이클 수를 변화시켜 250℃에서 다양한 두께의 일련의 막을 증착시켰다. 막 성장은 선형적이었다. 즉, 사이클의 수에 의해 막 두께를 제어할 수 있다 (도 4). 또한, 300℃에서 더 얇은 막을 증착시켰다. 약 150℃ 내지 약 300℃ 사이에서, GeO2막 밀도는 약 3.8 g/cm3 내지 약 4 g/cm3 (XRR로부터; 벌크 4.23g/cm3)이었다. 특히, 250℃에서 성장 속도는 약 0.25 Å/사이클이었으며, 막은 약 10% 미만의 불균일도를 가졌다. 250℃에서 XRR 밀도는 약 4.35g/cm3 (벌크 4.23 g/cm3)이었으며, 굴절률은 타원계측기 데이터로부터의 벌크 값에 가깝게 모델링되었다 (벌크 1.650 대 모델링 1.66).
150℃ 내지 250 ℃의 온도 범위에서, 이러한 막의 조성은 약 32 at% Ge 및 약 68 at% O (자연 옥사이드를 갖는 실리콘 위의 약 50nm GeO2의 RBS 분석)이었다 (도 5 참조).
Ge(OEt)4 및 O3의 증기 펄스들을 사용하여 Ge-기재들 (n- 및 p- 타입 둘 다) 위에 ALD에 의해 증착된 GeO2 막의 전기적 결과들 (용량-전압, 즉 C-V)을 도 11 내지 도 14b에서 볼 수 있다. 몇몇 샘플에서는, GeO2 ALD 증착 후 알루미늄 공급원으로서 TMA 및 오존 또는 산소 공급원으로서 물을 사용하는 Al2O3 ALD 막 증착이 있었다. 전기적 결과들로부터 ALD에 의해 증착된 GeO2 중간층이, p-Ge 위의 커패시터에 대하여 작은 CV 히스테리시스 뿐만 아니라, 낮은 Dit (계면 트랩) 및 Dbt (경계 트랩)를 포함하는 양호한 전기적 특성을 제공한다는 결론을 얻을 수 있다. 따라서, 트랜지스터에 대하여 유망한 성능이 예상될 수 있다. ALD-증착 GeO2 중간층 두께가 약 5nm에서 약 2.2nm로 감소하였을 때 전기적 열화는 발견되지 않았다. 또한, ALD-증착 GeO2의 k 값이 약 5.7이라는 결론을 얻을 수 있다. ALD-증착 Al2O3 캡핑층이 몇몇 상황에서 바람직하다. 또한, 자연 Ge 옥사이드는 GeO2 ALD 증착 전에 제거될 수 있다. 또한, 300℃에 비해 250℃에서 성장된 ALD-증착 GeO2 중간층에 대하여 더 좋은 성능이 관찰되었다.
도 7a 및 7B에서 ALD에 의해 증착된 GeO2는 300℃에서 Ge(OEt)4 및 O3의 증기 펄스들을 사용할 경우 HF-최종 Ge (도 7b) 및 HF-최종 Si (도 7a) 위에서 선형적으로 성장한다는 것을 볼 수 있다.
도 8a 및 8B는 ALD Al2O3/ ALD GeO2 (37 사이클)/Ge/Si -스택/구조의 TEM 화상을 보여 준다. Al2O3는 증기 펄스들 또는 TMA 및 물 또는 오존을 사용하여 ALD에 의해 증착되었다. 도 8b에서 볼 수 있는 것처럼, Al2O3 ALD 공정에서 산소 공급원으로서 물을 사용할 경우에는 층들이 섞일 수 있지만, Al2O3 ALD 공정에서 산소 공급원으로서 오존을 사용할 경우에는, 두 개의 분명하게 분리된 층을 도 8a에서 볼 수 있다. 그러나, 층들이 섞이는 이유는 불확실하며, 이는 분석시 전자 빔에 의해 야기될 수 있다.
250 ℃의 반응 온도에서 성장되고 약 30 nm의 목표 GeO2 두께를 사용할 경우 ALD GeO2/ ALD HfO2 스택막 등각성을 도시하는, 도 9a 및 9B 및 도 10에서 볼 수 있는 것처럼 Ge(OEt)4 및 O3의 증기 펄스들을 사용하여 ALD에 의해 GeO2를 증착시키는 경우 양호한 등각성을 얻을 수 있다.
다른 세트의 실험에서는, 반응기 챔버에서 기재를 150℃ 내지 300℃에서 테트라키스(디메틸아미노)게르마늄 (TDMAGe) 및 O3의 증기 펄스들과 번갈아 연속적으로 접촉시켜 GeO2막을 증착시켰다. 이 온도 범위에서 약 0.4 Å/사이클 내지 약 0.55 Å/사이클의 성장 속도를 얻었다. 150℃ 내지 300℃의 동일 온도범위에서, 두께 불균일도 약 6% 미만이었다. 약 2% 미만의 최상의 불균일도가 약 200℃에서 관찰되었다. 150℃ 내지 300℃ 범위에서, GeO2 막 밀도는 약 3.8 g/cm3 내지 약 4 g/cm3 (XRR로부터)이었다. EDX 조성은 약 30 at.% Ge 및 약 70 at.% O이었다. 또한, TDMAGe는 물과 반응하는 것으로 관찰되었다.
또한, GeO2가 Ge 표면 위에 증착되고 HfO2로 토핑되었다. 간단히, 50 nm의 GeO2가, Si 위에 15 nm 또는 1 ㎛ Ge를 포함하는 기재 위에, 본 명세서에서 설명된 것처럼, ALD에 의해 TDMAGe 및 O3로부터 증착되었다. 이후, 약 50 nm의 HfO2가 HfCl4 및 H2O의 교호 및 연속 펄스들을 사용하는 원자층 증착에 의해 상기 GeO2 위에 증착되었다. 상기 증착 온도는 300℃이었다. 에칭은 관찰되지 않았다. 결과들이 도 6a 및 6B에서 보여진다.
특정 구현예들 및 실시예들이 논의되었지만, 통상의 기술자는 청구항들의 범위가 상기 특별히 논의된 구현예들을 벗어나 다른 대안의 구현예들 및/또는 용도들 및 자명한 변형들 및 이들의 등가물에까지 연장된다는 것을 이해할 것이다.

Claims (19)

  1. 반응 챔버 내에서 기재 위에 게르마늄 옥사이드 박막을 형성하는 원자층 증착 (ALD) 방법으로서, 상기 ALD 방법은,
    상기 기재를 기상의 4가 Ge 전구체와 접촉시켜 최대 1개의 상기 Ge 전구체의 단분자층이 상기 기재 표면 위에 형성되도록 하는 접촉단계,
    과잉 Ge 전구체 및 반응 부산물이 있는 경우, 이들을 제거하는 제거단계,
    상기 기재를 기상의 산소 전구체와 접촉시키는 단계로서, 상기 산소 전구체가 상기 기재 표면 위에서 상기 Ge 전구체와 반응하는 접촉단계,
    과잉 산소 전구체 및 가스 부산물을 제거하는 제거단계, 및
    Ge이 아닌 금속 또는 반금속 1 at% 미만을 포함하는, 목표 두께의 게르마늄 옥사이드 박막이 형성될 때까지 상기 접촉단계들 및 제거단계들을 복수회 반복하는 단계를 포함하며,
    상기 Ge 전구체가 다음 일반식 중의 하나의 식을 갖는 원자층 증착 방법:
    GeOR4 (1)
    (여기서, R은 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    GeRxA4-x (2)
    (여기서, x는 1 내지 4의 정수이고,
    R은 유기 리간드이고, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬 및 알킬아민으로 이루어진 군으로부터 독립적으로 선택될 수 있고, 및
    A는 알킬, 치환된 알킬, 알콕사이드, 알킬실릴, 알킬아민, 할라이드 및 수소로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    Ge(OR)xA4-x (3)
    (여기서, x는 1 내지 4의 정수이고,
    R은 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있고,
    A는 알킬, 알콕사이드, 알킬실릴, 치환된 알킬, 알킬아민, 할라이드 및 수소로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    Ge(NRIRII)4 (4)
    (여기서, RI은 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있고,
    RII는 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    Ge(NRIRII)xA4-x (5)
    (여기서, x는 1 내지 4의 정수이고,
    RI은 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있고,
    RII는 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있고, 및
    A는 알킬, 알콕사이드, 알킬실릴, 치환된 알킬, 알킬아민, 할라이드 및 수소로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    Gen(NRIRII)2n+2 (6)
    (여기서, n은 1 내지 3의 정수이고,
    RI은 수소, 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있고, 및
    RII는 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    Gen(OR)2n+2 (7)
    (여기서, n은 1 내지 3의 정수이고, 및
    여기서, R은 알킬 및 치환된 알킬로 이루어진 군으로부터 독립적으로 선택될 수 있다);
    GenR2n+2 (8)
    (여기서, n은 1 내지 3의 정수이고, 및
    R은 유기 리간드이고, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬 및 알킬아민으로 이루어진 군으로부터 독립적으로 선택될 수 있다); 및
    A3-xRxGe-GeRyA3-y (9)
    (여기서, x는 1 내지 3의 정수이고,
    y는 1 내지 3의 정수이고,
    R은 유기 리간드이고, 알콕사이드, 알킬실릴, 알킬, 치환된 알킬 및 알킬아민으로 이루어진 군으로부터 독립적으로 선택될 수 있고, 및
    A는 알킬, 알콕사이드, 알킬실릴, 치환된 알킬, 알킬아민, 할라이드, 및 수소로 이루어진 군으로부터 독립적으로 선택될 수 있다).
  2. 제1항에 있어서, 상기 과잉 Ge 전구체를 제거하는 단계는 상기 기재 표면 및 상기 기재 표면 부근에서 과잉 Ge 전구체를 제거하는 단계를 포함하는 원자층 증착 방법.
  3. 제1항에 있어서, 상기 산소 전구체는 물이 아닌 원자층 증착 방법.
  4. 제1항에 있어서, 상기 산소 전구체는 오존, 산소 원자, 산소 라디칼, 또는 산소 플라즈마인 원자층 증착 방법.
  5. 제1항에 있어서, 상기 Ge 전구체는 할라이드가 아닌 원자층 증착 방법.
  6. 제1항에 있어서, 상기 Ge 전구체는 적어도 하나의 알콕사이드 리간드를 포함하는 원자층 증착 방법.
  7. 제1항에 있어서, 상기 Ge 전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함하는 원자층 증착 방법.
  8. 제1항에 있어서, 상기 Ge 전구체는 적어도 하나의 아민 또는 알킬아민 리간드를 포함하고, 상기 산소 전구체는 물을 포함하는 원자층 증착 방법.
  9. 제1항에 있어서, 상기 기재의 표면은 상기 ALD 방법을 시작하기 전에 GeO2의 박층을 포함하는 원자층 증착 방법.
  10. 제1항에 있어서, 상기 기재는 상기 게르마늄 옥사이드 박막이 증착되기 전에 부동태화제로 전처리되어 산화를 방지하는 원자층 증착 방법.
  11. 제1항에 있어서, 상기 게르마늄 옥사이드 박막 위에 상이한 물질의 박층을 증착시키는 단계를 더 포함하는 원자층 증착 방법.
  12. 제11항에 있어서, 상기 박층은 Al2O3를 포함하는 원자층 증착 방법.
  13. 제1항에 있어서, 상기 게르마늄 옥사이드 층은 상기 기재 및 고-k 층 (high-k layer) 사이의 중간층으로 사용되는 원자층 증착 방법.
  14. 제1항에 있어서, 상기 게르마늄 옥사이드 박막은 Ge-응축 공정에서 사용되는 원자층 증착 방법.
  15. Ge이 아닌 금속 또는 반금속 1 at% 미만을 포함하는 GeO2 박막을 형성하는 원자층 증착 방법으로서,
    두 개 이상의 증착 사이클을 포함하며,
    적어도 두 개의 연속적인 증착 사이클이 게르마늄 옥사이드를 형성하며, 기재를 Ge(OCH2CH3)4 및 O3와 번갈아 연속적으로 접촉시키는 단계를 포함하는 원자층 증착 방법.
  16. Ge이 아닌 금속 또는 반금속 1 at% 미만을 포함하는 GeO2 박막을 형성하는 원자층 증착 방법으로서,
    두 개 이상의 증착 사이클을 포함하며,
    적어도 두 개의 연속적인 증착 사이클이 게르마늄 옥사이드를 형성하며, 기재를 할라이드를 포함하지 않는 4가 알킬아민 Ge 전구체 및 산소 공급원과 번갈아 연속적으로 접촉시키는 단계를 포함하는 원자층 증착 방법.
  17. 제16항에 있어서, 상기 Ge 전구체는 TDMAGe이고, 상기 산소 공급원은 오존인 원자층 증착 방법.
  18. 제16항에 있어서, 상기 Ge 전구체는 TDMAGe이고, 상기 산소 공급원은 물인 원자층 증착 방법.
  19. 제16항에 있어서, 상기 산소 공급원은 물인 원자층 증착 방법.
KR1020130106028A 2012-09-05 2013-09-04 GeO2의 원자층 증착 KR101994305B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261697007P 2012-09-05 2012-09-05
US61/697,007 2012-09-05
US201261713082P 2012-10-12 2012-10-12
US61/713,082 2012-10-12
US13/802,393 2013-03-13
US13/802,393 US9171715B2 (en) 2012-09-05 2013-03-13 Atomic layer deposition of GeO2

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190074914A Division KR102104390B1 (ko) 2012-09-05 2019-06-24 GeO2의 원자층 증착

Publications (2)

Publication Number Publication Date
KR20140031811A KR20140031811A (ko) 2014-03-13
KR101994305B1 true KR101994305B1 (ko) 2019-06-28

Family

ID=50188151

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020130106028A KR101994305B1 (ko) 2012-09-05 2013-09-04 GeO2의 원자층 증착
KR1020190074914A KR102104390B1 (ko) 2012-09-05 2019-06-24 GeO2의 원자층 증착
KR1020200047259A KR102192161B1 (ko) 2012-09-05 2020-04-20 GeO2의 원자층 증착

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020190074914A KR102104390B1 (ko) 2012-09-05 2019-06-24 GeO2의 원자층 증착
KR1020200047259A KR102192161B1 (ko) 2012-09-05 2020-04-20 GeO2의 원자층 증착

Country Status (3)

Country Link
US (3) US9171715B2 (ko)
KR (3) KR101994305B1 (ko)
TW (2) TWI638061B (ko)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5780981B2 (ja) * 2012-03-02 2015-09-16 東京エレクトロン株式会社 ゲルマニウム薄膜の成膜方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015132445A1 (en) * 2014-03-04 2015-09-11 Picosun Oy Atomic layer deposition of germanium or germanium oxide
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9472573B2 (en) 2014-12-30 2016-10-18 International Business Machines Corporation Silicon-germanium fin formation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6671166B2 (ja) * 2015-12-15 2020-03-25 東京エレクトロン株式会社 絶縁膜積層体の製造方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017160652A1 (en) * 2016-03-12 2017-09-21 University Of Wyoming Methods, catalysts, and supports for electrochemical devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10079233B2 (en) 2016-09-28 2018-09-18 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
EP3382761A1 (en) * 2017-03-29 2018-10-03 IMEC vzw Integration of silicon-germanium semiconductor structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10141420B1 (en) 2017-11-22 2018-11-27 International Business Machines Corporation Transistors with dielectric-isolated source and drain regions
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10276687B1 (en) * 2017-12-20 2019-04-30 International Business Machines Corporation Formation of self-aligned bottom spacer for vertical transistors
US10559675B2 (en) 2017-12-21 2020-02-11 International Business Machines Corporation Stacked silicon nanotubes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10971584B2 (en) 2018-03-07 2021-04-06 International Business Machines Corporation Low contact resistance nanowire FETs
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10665511B2 (en) 2018-06-07 2020-05-26 International Business Machines Corporation Self-limiting liners for increasing contact trench volume in N-type and P-type transistors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10504794B1 (en) 2018-06-25 2019-12-10 International Business Machines Corporation Self-aligned silicide/germanide formation to reduce external resistance in a vertical field-effect transistor
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
CN112680716A (zh) * 2019-10-17 2021-04-20 Asm Ip 控股有限公司 氧化铟锗锌的原子层沉积
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115341276B (zh) * 2022-08-23 2024-03-01 中国科学院微电子研究所 一种r-GeO2薄膜单晶及其生长方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050192193A1 (en) 2004-03-01 2005-09-01 Korzenski Michael B. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050266700A1 (en) * 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5256550A (en) 1988-11-29 1993-10-26 Hewlett-Packard Company Fabricating a semiconductor device with strained Si1-x Gex layer
US5445897A (en) 1989-11-22 1995-08-29 Mitsubishi Kasei Polytec Company Epitaxial wafer and process for producing the same
JP3147338B2 (ja) 1991-01-30 2001-03-19 住友金属工業株式会社 半導体基板の製造方法
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5259918A (en) 1991-06-12 1993-11-09 International Business Machines Corporation Heteroepitaxial growth of germanium on silicon by UHV/CVD
JPH05217921A (ja) 1991-09-13 1993-08-27 Motorola Inc 材料膜のエピタキシアル成長を行うための温度制御された処理
JPH0737823A (ja) 1993-07-21 1995-02-07 Oki Electric Ind Co Ltd 半導体膜形成方法及び半導体膜形成装置
JP3116731B2 (ja) 1994-07-25 2000-12-11 株式会社日立製作所 格子不整合系積層結晶構造およびそれを用いた半導体装置
JP2914213B2 (ja) 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
JP2685030B2 (ja) 1995-05-26 1997-12-03 日本電気株式会社 半導体装置及びその製造方法
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JP2954039B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 SiGe薄膜の成膜方法
JP2877108B2 (ja) 1996-12-04 1999-03-31 日本電気株式会社 半導体装置およびその製造方法
JP2953567B2 (ja) 1997-02-06 1999-09-27 日本電気株式会社 半導体装置の製造方法
JP3024584B2 (ja) 1997-03-10 2000-03-21 日本電気株式会社 半導体装置の製造方法
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
DE69827824T3 (de) 1997-06-24 2009-09-03 Massachusetts Institute Of Technology, Cambridge Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US6475865B1 (en) 1997-08-21 2002-11-05 United Microelectronics Corp. Method of fabricating semiconductor device
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
FR2773177B1 (fr) 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
DE19802977A1 (de) 1998-01-27 1999-07-29 Forschungszentrum Juelich Gmbh Verfahren zur Herstellung einer einkristallinen Schicht auf einem nicht gitterangepaßten Substrat, sowie eine oder mehrere solcher Schichten enthaltendes Bauelement
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
JP3403076B2 (ja) 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
WO2000004357A1 (en) 1998-07-15 2000-01-27 Smithsonian Astrophysical Observatory Epitaxial germanium temperature sensor
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
FR2783254B1 (fr) 1998-09-10 2000-11-10 France Telecom Procede d'obtention d'une couche de germanium monocristallin sur un substrat de silicium monocristallin,et produits obtenus
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
ATE394794T1 (de) 1999-03-12 2008-05-15 Ibm Ge kanal-heterostruktur mit hoher geschwindigkeit für feldeffektanordnungen
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US6635110B1 (en) 1999-06-25 2003-10-21 Massachusetts Institute Of Technology Cyclic thermal anneal for dislocation reduction
TW475267B (en) 1999-07-13 2002-02-01 Toshiba Corp Semiconductor memory
US7041170B2 (en) 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
JP4406995B2 (ja) 2000-03-27 2010-02-03 パナソニック株式会社 半導体基板および半導体基板の製造方法
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6461945B1 (en) 2000-06-22 2002-10-08 Advanced Micro Devices, Inc. Solid phase epitaxy process for manufacturing transistors having silicon/germanium channel regions
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
JP4269541B2 (ja) 2000-08-01 2009-05-27 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6995076B2 (en) 2000-09-05 2006-02-07 The Regents Of The University Of California Relaxed SiGe films by surfactant mediation
JP2002093735A (ja) 2000-09-13 2002-03-29 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP2002184993A (ja) 2000-12-11 2002-06-28 Sony Corp 半導体装置
KR100385857B1 (ko) 2000-12-27 2003-06-02 한국전자통신연구원 SiGe MODFET 소자 제조방법
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6620743B2 (en) 2001-03-26 2003-09-16 Asm America, Inc. Stable, oxide-free silicon surface preparation
US6482705B1 (en) 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6541322B2 (en) 2001-05-17 2003-04-01 Macronix International Co. Ltd. Method for preventing gate depletion effects of MOS transistor
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6844213B2 (en) 2001-06-14 2005-01-18 Integrated Sensing Systems Process of forming a microneedle and microneedle formed thereby
JP4039013B2 (ja) 2001-07-06 2008-01-30 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP3660897B2 (ja) 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030080394A1 (en) 2001-10-31 2003-05-01 Babcock Jeffrey A. Control of dopant diffusion from polysilicon emitters in bipolar integrated circuits
US6875279B2 (en) 2001-11-16 2005-04-05 International Business Machines Corporation Single reactor, multi-pressure chemical vapor deposition for semiconductor devices
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
CN100344963C (zh) * 2002-01-18 2007-10-24 爱科来株式会社 具备温度检测部的分析装置
US6805962B2 (en) 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6746902B2 (en) 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
US6864520B2 (en) 2002-04-04 2005-03-08 International Business Machines Corporation Germanium field effect transistor and method of fabricating the same
US7452757B2 (en) 2002-05-07 2008-11-18 Asm America, Inc. Silicon-on-insulator structures and methods
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
US6812495B2 (en) 2002-06-19 2004-11-02 Massachusetts Institute Of Technology Ge photodetectors
US7018910B2 (en) 2002-07-09 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Transfer of a thin layer from a wafer comprising a buffer layer
US6953736B2 (en) 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
EP1530800B1 (en) 2002-08-23 2016-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US6878610B1 (en) 2002-08-27 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Relaxed silicon germanium substrate with low defect density
JP2004179452A (ja) 2002-11-28 2004-06-24 Shin Etsu Handotai Co Ltd ヘテロエピタキシャルウエーハ
US7012314B2 (en) 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
WO2004061943A1 (en) 2003-01-07 2004-07-22 S.O.I.Tec Silicon On Insulator Technologies Recycling by mechanical means of a wafer comprising a taking-off structure after taking-off a thin layer thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7022593B2 (en) 2003-03-12 2006-04-04 Asm America, Inc. SiGe rectification process
US7238595B2 (en) 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7682947B2 (en) 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US6963078B2 (en) 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US7517768B2 (en) 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
US6878576B1 (en) 2003-06-26 2005-04-12 Rj Mears, Llc Method for making semiconductor device including band-engineered superlattice
US6958486B2 (en) 2003-06-26 2005-10-25 Rj Mears, Llc Semiconductor device including band-engineered superlattice
US7394911B2 (en) * 2003-07-08 2008-07-01 Sonian Roskilde A/S Control panel with activation zone
JP2007505477A (ja) 2003-07-23 2007-03-08 エーエスエム アメリカ インコーポレイテッド シリコン−オン−インシュレーター構造及びバルク基板に対するSiGeの堆積
JP2007511892A (ja) 2003-07-30 2007-05-10 エーエスエム アメリカ インコーポレイテッド 緩和シリコンゲルマニウム層のエピタキシャル成長
US20050067377A1 (en) 2003-09-25 2005-03-31 Ryan Lei Germanium-on-insulator fabrication utilizing wafer bonding
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
KR100589053B1 (ko) 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
US6992025B2 (en) 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
US7105393B2 (en) 2004-01-30 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon layer fabrication with reduced dislocation defect density
US7329593B2 (en) 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
US7125785B2 (en) 2004-06-14 2006-10-24 International Business Machines Corporation Mixed orientation and mixed material semiconductor-on-insulator wafer
US7199021B2 (en) 2004-06-22 2007-04-03 Texas Instruments Incorporated Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
KR100618879B1 (ko) 2004-12-27 2006-09-01 삼성전자주식회사 게르마늄 전구체, 이를 이용하여 형성된 gst 박막,상기 박막의 제조 방법 및 상변화 메모리 소자
DE102005000826A1 (de) 2005-01-05 2006-07-20 Siltronic Ag Halbleiterscheibe mit Silicium-Germanium-Schicht und Verfahren zu deren Herstellung
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US8088223B2 (en) 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
EP1705697A1 (en) 2005-03-21 2006-09-27 S.O.I. Tec Silicon on Insulator Technologies S.A. Composition graded layer structure and method for forming the same
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US7037856B1 (en) 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
KR100641063B1 (ko) 2005-08-26 2006-11-01 삼성전자주식회사 단결정 구조물 형성 방법 및 이를 이용한 반도체 장치의제조 방법
US20070048956A1 (en) 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
EP1763069B1 (en) 2005-09-07 2016-04-13 Soitec Method for forming a semiconductor heterostructure
JP4786495B2 (ja) 2005-11-24 2011-10-05 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US7901968B2 (en) 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7785995B2 (en) 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7608526B2 (en) 2006-07-24 2009-10-27 Asm America, Inc. Strained layers within semiconductor buffer structures
WO2008057616A2 (en) 2006-11-02 2008-05-15 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
TWI471449B (zh) 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US20090072271A1 (en) 2007-09-18 2009-03-19 Leonardo Gomez EPITAXIAL GROWTH OF THIN SMOOTH GERMANIUM (Ge) ON SILICON (Si) UTILIZING AN INTERFACIAL SILICON GERMANIUM (SiGe) PULSE GROWTH METHOD
US20130210217A1 (en) 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2011027321A1 (en) * 2009-09-02 2011-03-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
WO2011091291A1 (en) * 2010-01-22 2011-07-28 The Arizona Board Of Regents For And On Behalf Of Arizona State University BACTERIUM COMPRISING A REGULATED rfaH NUCLEIC ACID
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110262660A1 (en) 2010-04-22 2011-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US8598020B2 (en) 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
US8148197B2 (en) 2010-07-27 2012-04-03 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
DE112011105102T5 (de) 2011-03-28 2014-01-02 Applied Materials, Inc. Verfahren und Vorrichtung zum selektiven Abscheiden von epitaxialen Germanium-Spannungsbeaufschlagungslegierungen
US8623734B2 (en) 2011-06-01 2014-01-07 International Business Machines Corporation Method to selectively grow phase change material inside a via hole
TWI473316B (zh) * 2011-08-17 2015-02-11 Nat Applied Res Laboratories 具透明導電特性及水氣阻絕功能之奈米疊層膜及其製造方法
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050192193A1 (en) 2004-03-01 2005-09-01 Korzenski Michael B. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050266700A1 (en) * 2004-05-05 2005-12-01 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
M. Perego et al. Applied physics letters. 2007, Vol. 90, 162115, pp. 1-3
Profijt H.B. et al. Journal of Vacuum Science and Technology. A: Vacuum, Surfaces, and Films. 2011, Vol. 29, 050801, pp. 1-26

Also Published As

Publication number Publication date
KR20140031811A (ko) 2014-03-13
US20200266053A1 (en) 2020-08-20
KR102104390B1 (ko) 2020-04-27
KR102192161B1 (ko) 2020-12-17
TWI638061B (zh) 2018-10-11
US10553423B2 (en) 2020-02-04
US20140065841A1 (en) 2014-03-06
TW201418506A (zh) 2014-05-16
KR20200043960A (ko) 2020-04-28
TW201734249A (zh) 2017-10-01
TWI596227B (zh) 2017-08-21
US20160155635A1 (en) 2016-06-02
KR20190077274A (ko) 2019-07-03
US10811249B2 (en) 2020-10-20
US9171715B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
KR102192161B1 (ko) GeO2의 원자층 증착
US11028478B2 (en) Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9368352B2 (en) Methods for forming doped silicon oxide thin films
US10741388B2 (en) Cyclical deposition of germanium
CN101553597A (zh) 批次处理原子层沉积反应器的处理制程
US11769664B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
US20160020091A1 (en) Carbon and/or Nitrogen Incorporation in Silicon Based Films Using Silicon Precursors With Organic Co-Reactants by PE-ALD
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TW202223134A (zh) 使用抑制劑分子的高深寬比率結構的沉積方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant