KR101745074B1 - 성막 장치 - Google Patents

성막 장치 Download PDF

Info

Publication number
KR101745074B1
KR101745074B1 KR1020150036811A KR20150036811A KR101745074B1 KR 101745074 B1 KR101745074 B1 KR 101745074B1 KR 1020150036811 A KR1020150036811 A KR 1020150036811A KR 20150036811 A KR20150036811 A KR 20150036811A KR 101745074 B1 KR101745074 B1 KR 101745074B1
Authority
KR
South Korea
Prior art keywords
gas
gas supply
shower head
wafer
showerhead
Prior art date
Application number
KR1020150036811A
Other languages
English (en)
Other versions
KR20150108780A (ko
Inventor
데츠야 사이토
도모히로 오오타
도시오 다카기
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150108780A publication Critical patent/KR20150108780A/ko
Application granted granted Critical
Publication of KR101745074B1 publication Critical patent/KR101745074B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

기판에 대하여 복수 종류의 반응 가스를 순번으로 공급하고, 치환용의 가스를 공급하여 성막 처리를 실행하는 성막 장치는 기판이 탑재되도록 구성된 탑재부와, 탑재부에 대향하는 평탄한 면과, 복수의 가스 공급구를 포함하는 샤워 헤드를 포함한다. 환상 돌기부는 탑재부의 상면과 환상 돌기부 사이에 간극을 형성하도록 샤워 헤드에 마련된다. 복수의 가스 공급부는 샤워 헤드의 상방측에 형성된 천정부에 마련된다. 각각의 가스 공급부는 둘레 방향을 따라서 형성된 가스 토출구를 구비한다. 확산 공간은, 평면에서 보았을 때 확산 공간의 외연이 탑재부에 탑재된 기판의 외연보다 내측에 위치되도록 배치되어 있다.

Description

성막 장치{FILM FORMING APPARATUS}
관련 출원의 상호 참조
본 출원은 그 내용이 참고로 본원에 인용되는 일본 특허 출원 제 2014-055146 호를 우선권 주장한다.
본 발명은 기판에 대하여 서로 반응하는 복수 종류의 반응 가스를 순번으로 공급하여 막을 형성하는 성막 장치에 관한 것이다.
기판인 예를 들면 반도체 웨이퍼(이하 「웨이퍼」라 함)에 막을 성막하는 수법으로서, 서로 반응하는 복수 종류의 반응 가스를 웨이퍼에 대하여 순번으로 공급하는 이른바 ALD(Atomic Layer Deposition)법이나 MLD(Multi Layer Deposition)법(이하, 이들을 종합하여 ALD법이라 칭함) 등이라 불리는 방법이 알려져 있다.
이러한 성막 방법에 있어서 웨이퍼에 반응 가스를 공급하는 여러 가지의 가스 공급 기구가 제안되어 있다. 예를 들면 특허문헌 1, 2에는, 복수 매의 플레이트를 상하에 간격을 두고 배치하는 것에 의해, 중단(中段)의 플레이트를 거쳐서 상하에 적층된 가스 확산 공간(특허문헌 1에서는 공간(11a, 11b), 특허문헌 2에서는 가스 확산 공간(50), 공간(81)이라 기재)을 구성하고, 각각의 확산 공간으로부터 최하단의 샤워 플레이트의 하면에 개구하는 다수의 가스 유로를 마련한 샤워 헤드가 기재되어 있다.
상기 타입의 샤워 헤드는, 서로 격리된 가스 확산 공간으로부터 복수 종류의 반응 가스를 각각 공급한다. 따라서, 가스 확산 공간 내에서의 반응 가스끼리의 혼합을 피하여, 샤워 헤드 내에서의 반응 생성물의 퇴적을 방지할 수 있다.
한편, 상하에 적층된 각 가스 확산 공간으로부터, 서로 섞이지 않도록 반응 가스를 공급하기 위해서는, 하단측의 가스 확산 공간을 관통하여 상단측의 가스 확산 공간으로 연통하는 가스 유로용의 도관을 다수 마련할 필요가 있다. 이것은 샤워 헤드의 구조가 매우 복잡하게 된다.
이러한 과제에 대하여, 출원인은, 공통의 가스 확산 공간에 복수 종류의 반응 가스를 전환하여 공급하는 간소한 구성의 샤워 헤드의 개발을 실행하고 있다. 공통의 가스 확산 공간을 이용하는 경우에는, 반응 생성물의 퇴적을 방지하기 위해서, 하나의 반응 가스를 공급하고 나서, 다음의 반응 가스를 공급할 때까지의 사이에, 불활성 가스 등을 공급하여 가스의 치환을 실행할 필요가 있다.
반응 가스의 치환을 실행하는 경우에는, 치환 조작에 필요로 하는 시간을 가능한 한 짧게 하는 것이 성막을 효율적으로 실행하는데 중요한 과제가 된다. 또한, 최근에는, 나노미터의 정도로 성막되는 막의 웨이퍼 면내에 있어서의 막 두께의 균일성(예를 들면 후술의 1σ% 값(표준 편차 σ를 평균값으로 나누어 백분율로 표시한 값))을 2% 정도 이내로 하는 것이 요구되는 경우가 있다. 따라서, 치환성의 양호함 뿐만 아니라 보다 면내 균일성이 양호한 성막을 실현할 수 있는 샤워 헤드의 개발이 요구되고 있다.
이들 요구에 대하여, 특허문헌 1, 2에 기재된 샤워 헤드는, 웨이퍼의 전면에 대응하는 영역에 걸쳐서 넓어지는 큰 가스 확산 공간을 구비하고 있다. 가스 확산 공간의 한쪽측에 반응 가스나 치환 가스를 전환하여 공급했다고 하여도 치환 조작에 장시간을 필요로 하게 되어 버린다.
또한, 특허문헌 1, 2에는, 각 가스 확산 공간에 반응 가스를 공급하는 가스 공급부의 기재가 있다(특허문헌 1에 대하여, 관부(10j)에 마련된 토출구(121), 특허문헌 2에 대하여, 토출구(55)를 구비한 토출 포트(56) 및 가스 토출관(83)). 그렇지만, 반응 가스나 치환 가스를 전환하여 공급하는 샤워 헤드에 있어서, 성막되는 막의 균일성을 향상시키는데 이들 가스 공급부가 구비해야만 하는 특별한 기술적 특징은 개시되어 있지 않다.
그래서, 출원인은, 특허문헌 3에 나타내는 바와 같이, 중앙으로부터 외주를 향하여 끝이 넓어지는 형상의 경사면 구조를 갖는 천정부의 중앙 영역에, 성막 대상의 웨이퍼보다 면적이 작은 샤워 헤드를 마련함으로써(특허문헌 3 중에서는 「가스 공급 노즐」이라 기재하고 있음), 치환성을 높인 성막 장치를 개발했다.
그러나, 샤워 헤드에 다수 천공설치된 가스 공급구 중, 예를 들면 샤워 헤드 내에 가스를 도입하는 가스 공급로의 바로 아래의 위치와, 이 위치로부터 멀어진 위치를 비교하면, 가스 공급로의 바로 아래에 위치하는 가스 공급구로부터 유출하는 반응 가스의 유속이 높아지는 일이 있다. 그 결과, 각 가스 공급구로부터 유출하는 가스 유속의 차이에 의해서 웨이퍼에 흡착하는 반응 가스의 양에 차이가 발생하여, 웨이퍼의 면내에서 막의 두께가 근소하게 변화할 우려가 있다. 그렇지만 상술과 같이, 1σ% 값이 약 2% 이내와 같은 높은 면내 균일성이 요구되게 되면, 이러한 근소한 막 두께의 차이도 개선할 필요가 생긴다.
일본 특허 공개 제 2002-327274 호 공보 : 단락 0032 내지 0034, 도 1, 도 3, 도 6 및 도 7 일본 특허 공개 제 2006-299294 호 공보 : 단락 0020, 0024, 도 2, 도 3 및 도 5 일본 특허 공개 제 2009-224775 호 공보 : 단락 0068 내지 0072, 도 15 내지 도 17
본 발명은 이러한 사정을 감안하여 이루어진 것이며, 그 목적은, 반응 가스와 치환 가스의 치환성이 높으며, 면내 균일성이 양호한 막을 성막 가능한 성막 장치를 제공하는 것이다.
본 발명의 실시형태에 따르면, 진공 분위기인 처리실 내의 기판에 대하여 서로 반응하는 복수 종류의 반응 가스를 순번으로 공급하고, 하나의 반응 가스의 공급과 다음의 반응 가스의 공급 사이에 치환용의 가스를 공급하여 성막 처리를 실행하는 성막 장치가 제공된다. 성막 장치는 처리실에 마련되며, 기판이 탑재되도록 구성된 탑재부와; 탑재부에 대향하는 평탄한 면을 구비하고, 또한 복수의 가스 공급구를 포함하는 샤워 헤드와; 가스 공급구가 형성된 영역을 둘러싸고 하방측으로 돌출되도록 샤워 헤드에 마련된 환상 돌기부로서, 탑재부의 기판 탑재 영역의 외방측에서, 탑재부의 상면과 환상 돌기부 사이에 간극을 형성하도록 배치된, 환상 돌기부와; 샤워 헤드의 상방측에 형성된 천정부에 마련된 복수의 가스 공급부로서, 각 가스 공급부는 둘레 방향을 따라서 형성된 가스 토출구를 포함하며, 가스 토출구는 샤워 헤드와 천정부에 의해 둘러싸인 확산 공간에 횡방향으로 가스를 퍼지게 하도록 구성되어 있는, 복수의 가스 공급부와; 처리실을 진공배기시키도록 구성된 배기부를 포함한다. 또한, 평면에서 보았을 때 확산 공간의 외연이 탑재부에 탑재된 기판의 외연보다 내측에 위치되도록 배치되어 있다.
본 발명은 성막 대상의 기판보다 면적이 작은 확산 공간을 갖는 샤워 헤드를 이용하며, 이 샤워 헤드의 내측에 복수의 가스 공급부를 마련하고 있으므로, 반응 가스와 치환용의 가스의 치환을 단시간에 실행할 수 있다. 또한, 각 가스 공급부에는, 각각 횡방향으로 가스를 퍼지게 하도록 둘레 방향을 따라서 가스 토출구가 형성되어 있으며, 반응 가스는 흐름 방향을 변경하고 나서 샤워 헤드의 하면에 마련된 가스 공급구를 빠져나가므로, 샤워 헤드의 전면으로부터 균일하게 반응 가스가 공급되며, 기판에 성막되는 막 두께의 면내 균일성을 향상시킬 수 있다.
본 발명의 목적 및 특징은 첨부 도면을 참조하여 이뤄지는 실시예의 하기 설명으로부터 명료해진다.
도 1은 본 발명의 일 실시예에 따른 성막 장치의 종단면도이다.
도 2는 상기 성막 장치의 일부 확대 종단면도이다.
도 3은 상기 성막 장치에 마련되어 있는 천판 부재의 사시도이다.
도 4는 상기 천판 부재에 마련되어 있는 가스 공급부의 사시도이다.
도 5는 상기 가스 공급부의 종단면도이다.
도 6은 상기 가스 공급부의 배치 상태를 도시하는 샤워 헤드 내부의 평면도이다.
도 7은 상기 성막 장치의 작용을 도시하는 제 1 설명도이다.
도 8은 상기 성막 장치의 작용을 도시하는 제 2 설명도이다.
도 9는 참고예에 이용한 성막 장치의 일부 확대 종단면도이다.
도 10은 실험예 및 참고예에 따른 막의 비저항값을 나타내는 설명도이다.
도 11은 실험예 및 참고예에 따른 막의 막 두께를 나타내는 설명도이다.
도 12는 탑재대 온도 및 치환 가스의 통류 시간을 변화시켰을 때의 막 두께의 변화를 나타내는 설명도이다.
도 13은 탑재대의 설정 온도의 변화에 대한 샤워 헤드의 온도의 경시(經時) 변화를 나타내는 설명도이다.
도 14는 복수 매의 웨이퍼를 처리했을 때의 막의 비저항의 면간 변화를 나타내는 설명도이다.
도 15a 및 도 15b는 실험예 및 참고예에 따른 샤워 헤드 내의 가스의 확산 상태를 나타내는 설명도이다.
이후, 본 발명의 실시예를 첨부 도면을 참조하여 설명한다.
본 발명의 실시형태에 따른 성막 장치의 구성에 대하여 도 1 내지 도 6을 참조하여 설명한다. 본 성막 장치는 성막 대상의 원형의 기판(원판)이며, 예를 들면 직경이 300㎜의 웨이퍼(W)의 표면에, 서로 반응하는 반응 가스인 염화 티탄(TiCl4) 가스(원료 가스)와 암모니아(NH3) 가스(질화 가스)를 교대로 공급하여 ALD법에 의해 질화 티탄막(TiN 막)을 성막하는 장치로 하여 구성되어 있다.
도 1 및 도 2에 도시하는 바와 같이 성막 장치는, 알루미늄 등의 금속에 의해 구성되며, 평면 형상이 대체로 원형의 진공 용기인 처리실(1)과, 이 처리실(1) 내에 마련되며, 웨이퍼(W)가 탑재되는 탑재대(탑재부)(2)와, 탑재대(2)와 대향하도록 마련되며, 탑재대(2)와의 사이에 처리 공간(313)을 형성하고, 상기 처리 공간(313)에 가스를 공급하는 가스 샤워 헤드(5)를 구비하고 있다. 처리실(1)의 측면에는, 탑재대(2)와의 사이에서 웨이퍼(W)의 수수를 실행할 때에, 외부의 진공 반송로에 마련된 웨이퍼 반송 기구를 처리실(1) 내에 진입시키기 위한 반입·반출구(11)와, 이 반입·반출구(11)를 개폐하는 게이트 밸브(12)가 마련되어 있다.
상기 반입·반출구(11)보다 상부측의 위치에는, 알루미늄 등의 금속으로 이루어지며, 종단면의 형상이 사각형의 덕트를 원환 형상으로 만곡시켜서 구성한 배기 덕트(13)가, 처리실(1)의 본체를 구성하는 측벽 상에 적층되도록 마련되어 있다. 배기 덕트(13)의 내주면에는, 둘레 방향을 따라서 연장되는 슬릿 형상의 개구부(131)가 형성되어 있다. 처리 공간(313)으로부터 유출된 가스는 이 개구부(131)를 거쳐서 배기 덕트(13) 내로 배기된다. 배기 덕트(13)의 외벽면에는 배기구(132)가 형성되어 있다. 이 배기구(132)에는 진공 펌프 등으로 이루어지는 배기부(65)가 접속되어 있다. 배기구(132)나 배기부(65)는 처리 공간(313) 내의 진공 배기를 실행하는 배기부에 상당한다.
처리실(1) 내에는, 상기 배기 덕트(13)의 내측의 위치에, 탑재대(2)가 배치되어 있다. 탑재대(2)는 웨이퍼(W)보다 큰 원판으로 이루어지며, 예를 들면 질화 알루미늄(AlN), 석영 유리(SiO2) 등의 세라믹스나 알루미늄(Al), 하스텔로이(등록 상표) 등의 금속에 의해 구성되어 있다. 탑재대(2)의 내부에는, 웨이퍼(W)를 예를 들면 약 350℃ 내지 550℃의 성막 온도로 가열하기 위한 히터(21)가 매설되어 있다. 또한 필요에 따라서, 웨이퍼(W)를 상기 탑재대(2)의 상면측의 탑재 영역 내에 고정하기 위한 도시하지 않은 정전 척을 마련하여도 좋다. 또한, 도 1 이외의 종단면도에 있어서는 히터(21)의 기재를 생략하고 있다.
이 탑재대(2)에는, 상기 탑재 영역의 외주측의 영역, 및 탑재대(2)의 측주면을 둘레 방향에 걸쳐서 덮도록 마련된 커버 부재(22)가 마련되어 있다. 커버 부재(22)는 예를 들면 알루미나 등으로 이루어지며, 상하단이 각각 개구하는 대략 원통 형상으로 형성된다. 또한, 둘레 방향에 걸쳐서 커버 부재(22)의 상단부가 내측을 향하여 수평 방향으로 굴곡되어 있다. 이 굴곡부는, 탑재대(2)의 주연부에서 고정되어 있다. 상기 굴곡부의 두께 치수는 웨이퍼(W)의 두께 치수(약 0.8㎜)보다 두꺼우며, 예를 들면 약 1㎜ 내지 5㎜의 범위 내, 바람직하게는 약 3㎜로 되어 있다.
탑재대(2)의 하면측 중앙부에는, 처리실(1)의 저면을 관통하며, 상하 방향으로 연장되는 지지 부재(23)가 접속되어 있다. 이 지지 부재(23)의 하단부는, 처리실(1)의 하방측에 수평으로 배치된 판형상의 지지대(232)를 거쳐서 승강 기구(24)에 접속되어 있다. 승강 기구(24)는, 반입·반출구(11)로부터 진입해 온 웨이퍼 반송 기구와의 사이에서 웨이퍼(W)를 수수하는 수수 위치(도 1에 일점쇄선으로 표시되어 있음)와, 이 수수 위치의 상방측에서, 웨이퍼(W)에의 성막이 실행되는 처리 위치와의 사이에서 탑재대(2)를 승강시킨다.
이 지지 부재(23)가 관통하는 처리실(1)의 저면과, 지지대(232)와의 사이에는, 지지대(232)의 승강 동작에 따라서 신장 축소하는 벨로우즈(231)가 상기 지지 부재(23)를 둘레 방향의 외측으로부터 덮도록 마련되어 있다. 벨로우즈(231)는 처리실(1) 내의 분위기를 외부와 구획한다.
탑재대(2)의 하방측에는, 탑재대(2)와 웨이퍼 반송 기구 사이에서 웨이퍼(W)의 수수 시에, 웨이퍼(W)를 하면측으로부터 지지하여 들어올리는, 예를 들면 3개의 지지 핀(25)이 마련되어 있다. 지지 핀(25)은 승강 기구(26)에 접속되어 승강 가능하게 되어 있다. 탑재대(2)를 상하 방향으로 관통하는 관통 구멍(201)을 거쳐서 탑재대(2)의 상면으로부터 지지 핀(25)을 돌몰(突沒 : 튀어나오고 들어감)시키는 것에 의해서, 웨이퍼 반송 기구와의 사이에서의 웨이퍼(W)의 수수를 실행한다.
배기 덕트(13)의 상면측에는, 원형의 개구를 막도록 원판형상의 지지판(32)이 마련되어 있다. 배기 덕트(13)와 지지판(32)과의 사이에는 처리실(1) 내를 기밀하게 유지하기 위한 O링(133)이 배치되어 있다. 지지판(32)의 하면측에는, 처리 공간(313)에 반응 가스나 치환 가스를 공급하기 위한 천판 부재(31)가 마련되어 있다. 천판 부재(31)는 볼트(323)에 의해서 지지판(32)에 지지 고정되어 있다. 상기 천판 부재(31)나 지지판(32)은 본 성막 장치의 천정부를 구성하고 있다.
도 2에 도시하는 바와 같이, 예를 들면 지지판(32)의 상면측에는, 천판 부재(31)나 후술의 샤워 헤드(5)의 온도 조정을 실행하기 위해서 마련되며, 급전부(325)에 접속된 히터(324)가 마련되어 있다. 히터(324)는 지지판(32)이나 천판 부재(31)를 거쳐서 후술의 샤워 헤드(5)의 온도를 조정하기 위한 온도 조정부에 상당한다. 또한, 도 2 이외의 도면에 대해서는, 히터(324)나 급전부(325)의 기재는 생략되어 있다.
천판 부재(31)의 하면측에는 오목부가 형성되어 있다. 오목부의 중앙측의 영역은 평탄하게 되어 있다. 또한, 이 평탄한 중앙 영역의 외주측에는 평탄한 외주면이 형성되어 있으며, 상기 평탄한 외주면은 평탄한 중앙 영역으로부터 하방측으로 돌출되어 있다.
한편, 천판 부재(31)의 하방 위치에는, 상기 천판 부재(31)의 하면 전체를 덮도록 샤워 헤드(5)가 마련되어 있다. 샤워 헤드(5)는 탑재대(2)와 대향하는 평탄한 면을 구비한 예를 들면 금속제의 원판 부분과, 이 원판의 주연부에 형성되며, 하방측으로 돌출된 환상 돌기부(53)를 구비하는 동시에, 그 상면측에는 오목부가 형성되어 있다. 샤워 헤드(5)에 있어서의 상기 오목부의 외주측에는 상방측으로 돌출된 평탄한 면이 형성되어 있다.
천판 부재(31)와, 샤워 헤드(5)는 천판 부재(31)의 평탄한 하면 및 샤워 헤드(5)의 평탄한 상면을 서로 접촉시켜서 체결된다. 이들 접촉면의 내측에 형성된 오목부끼리를 대향시킴으로써, 가스를 확산시키기 위한 가스 확산 공간(50)이 구성되어 있다. 가스 확산 공간(50)의 저부에는, 그 전면에 걸쳐서 다수의 가스 공급구(511)가 천공설치되며, 웨이퍼(W)를 향하여 반응 가스를 공급할 수 있다. 이하, 샤워 헤드(5)에 있어서, 가스 확산 공간(50) 저부의 가스 공급구(511)가 형성된 영역을 가스 공급 영역(51)이라 부르고, 가스 공급구(511)가 형성되어 있지 않은, 가스 확산 공간(50)의 외방측의 영역을 주연 영역(52)이라 부른다. 또한, 도 3에는 가스 공급 영역(51)과 주연 영역(52)과의 경계를 긴 파선으로 나타내고 있다.
본 예의 샤워 헤드(5)는, 가스 확산 공간(50)의 직경(즉, 가스 공급 영역(51)의 직경)이 약 255㎜(반경 127.5㎜)로 설정되며, 가스 확산 공간(50)의 높이 치수가 약 8㎜로 설정되며, 후술의 가스 공급부(4)의 체적을 제외한 샤워 헤드(5) 내의 용적이 약 385㎤로 설정되어 있다.
직경 약 300㎜(반경 150㎜)의 웨이퍼(W)에 대하여, 가스 확산 공간(50)의 직경이 약 255㎜(반경 약 127.5㎜)의 샤워 헤드(5)를 탑재대(2) 상의 웨이퍼(W)의 중앙부의 상방 위치에 배치하면, 가스 확산 공간(50)의 외연은 웨이퍼(W)의 외연보다 내측에 위치하게 된다. 이와 같이, 하면측에서 본 가스 확산 공간(50)의 면적이 웨이퍼(W)의 면적보다 작은 샤워 헤드(5)를 이용하는 것에 의해서, 치환 가스에 의한 반응 가스의 치환을 단시간에 실행할 수 있다.
도 6은 가스 공급 영역(51) 부분을 제거한 상태에서, 샤워 헤드(5)를 하면측에서 본 평면도를 도시하고 있다. 도 6에 도시하는 바와 같이, 가스 확산 공간(50)의 평면 형상이 원형으로 되어 있을 때, 가스 확산 공간(50)의 반경을 r, 웨이퍼(W)의 반경을 R로 하면, r/R의 값은 4/15 내지 9/10의 범위 내인 것이 바람직하다. 또한, 가스 확산 공간(50) 내의 높이는 약 3㎜ 내지 10㎜가 바람직하다. 가스 확산 공간(50)의 내부 용적은 약 150㎤ 내지 400㎤의 범위 내인 것이 매우 적합하다. 이 가스 확산 공간(50) 내에 예를 들면 약 2L/분 내지 8.8L/분의 유량으로 상기 용적의 5배 내지 22배의 양의 치환 가스를 공급함으로써, 약 0.1초 내지 0.5초 정도로 치환 조작을 종료시킬 수 있다.
여기서, 가스 확산 공간(50)의 하면 전체에 가스 공급구(511)를 마련하는 것은 필수가 아니다. 샤워 헤드(5) 내의 가스의 치환 시간이나 웨이퍼(W)에 성막되는 막의 균일성이 목표를 만족하는 범위 내에서, 예를 들면 가스 확산 공간(50)의 하면의 중앙측 영역에만 가스 공급구(511)를 마련하는 구성으로 하여도 좋다. 또한, 샤워 헤드(5)의 하면측의 부재 내를 횡방향, 외측을 향하여 연장되는 유로의 말단을 가스 공급구(511)로 함으로써, 가스 확산 공간(50)보다 외방측에 가스 공급구(511)를 개구시켜도 좋다. 또한, 도 3에 있어서는, 편의상 가스 공급 영역(51)에 마련된 가스 공급구(511)의 일부만이 도시되어 있다.
탑재대(2) 상의 웨이퍼(W)의 상면으로부터, 가스 공급 영역(51)의 가스 공급구(511)까지의 높이 t는 약 10㎜ 내지 50㎜ 정도이며, 보다 바람직하게는 약 15㎜ 내지 20㎜ 정도로 설정된다. 이 높이가 약 50㎜보다 커지면, 가스의 치환 효율이 저하한다. 이 높이가 약 10㎜보다 작아지면, 가스 공급부(4)나 샤워 헤드(5)를 마련하는 공간이 없어지거나, 처리 공간(313) 내를 가스가 흐르기 어려워지거나 한다. 또한, 상술과 같이 샤워 헤드(5)의 하면은 평탄하게 되어 있으므로, 샤워 헤드(5)는 탑재대(2)에 탑재된 웨이퍼(W)의 상면측으로부터 등거리만큼 멀어진 위치에 배치되어 있다.
도 3에 도시하는 바와 같이, 가스 공급구(511)가 형성된 가스 공급 영역(51)의 주위에는, 가스 공급구(511)가 형성되어 있지 않은 원환 형상의 주연 영역(52)이 마련되어 있다. 이들 가스 공급 영역(51) 및 주연 영역(52)은 하나의 부재로 구성되어 있다. 또한, 샤워 헤드(5)의 주연부측에는, 하방측으로 돌출되는 환상 돌기부(53)가 샤워 헤드(5)의 둘레 방향을 따라서 형성되어 있다.
탑재대(2)를 처리 위치까지 상승시켰을 때, 환상 돌기부(53)의 하단은 탑재대(2)에 마련된 커버 부재(22)의 상면과 대향하도록 배치된다. 샤워 헤드(5)의 하면 및 환상 돌기부(53)와, 탑재대(2)의 상면에 의해서 둘러싸인 공간은 웨이퍼(W)에 대한 성막이 실행되는 처리 공간(313)이 된다.
또한, 도 2에 도시하는 바와 같이, 환상 돌기부(53)의 하단과, 커버 부재(22)의 굴곡부의 상면과의 사이에는 높이 h의 간극이 형성되도록 처리 위치의 높이 위치가 설정되어 있다. 상기 배기 덕트(13)의 개구부(131)는 이 간극을 향하여 개구하고 있다. 환상 돌기부(53)의 하단과 커버 부재(22)와의 간극의 높이 h는, 예를 들면 약 0.2㎜ 내지 10.0㎜, 바람직하게는 약 3.0㎜의 범위 내로 설정된다.
도 1 및 도 2에 도시하는 바와 같이 가스 확산 공간(50) 내에 있어서의 샤워 헤드(5)의 상면측에는, 원주 형상으로 형성된 복수 개의 전열 부재(54)가 상방측을 향하여 돌출되도록 마련되어 있다. 각 전열 부재(54)에는, 상하 방향으로 전열 부재(54)를 관통하는 나사 구멍이 형성되어 있다. 또한, 천판 부재(31)측에도 각 전열 부재(54)에 대응하는 위치에 나사 구멍이 형성된다. 각 나사 구멍에 나사(541)를 나사 결합시키는 것에 의해서, 천판 부재(31)에 대하여 샤워 헤드(5)가 체결된다.
샤워 헤드(5)를 천판 부재(31)에 체결한 상태에서 각 전열 부재(54)의 상단은 천판 부재(31)의 저면에 접하고 있다. 그 결과, 히터(324)로부터 공급되며, 지지판(32)이나 천판 부재(31)를 거쳐서 전열하는 열이, 전열 부재(54)나 나사(541)를 통하여 샤워 헤드(5)의 하면까지 전달되기 쉽게 되어 있다. 이러한 관점에 있어서, 각 전열 부재(54)는, 샤워 헤드(5)와 천판 부재(31), 지지판(32)과의 사이의 전열을 촉진하기 위해서 이들 샤워 헤드(5) 및 천판 부재(31) 사이를 연결하는 전열 부재에 상당하고 있다.
도 3 및 도 6에 도시하는 바와 같이, 본 예의 샤워 헤드(5)에 있어서, 전열 부재(54)는 가스 확산 공간(50)의 중심을 2중의 환상으로 둘러싸고, 각 환의 둘레 방향으로 등간격으로 배치되어 있다. 합계 12개의 전열 부재(54)가 마련되어 있다. 이들 중에 4개의 전열 부재가 중앙부측에 그리고 8개의 전열 부재가 환에 마련되어 있다. 또한, 샤워 헤드(5)에 마련되는 전열 부재(54)의 수나 배치 위치는 이 예에 한정되는 것이 아니며, 샤워 헤드(5)의 온도 조정의 필요에 따라서 적절히 변경하여도 좋다.
또한, 도 3 및 도 6에 도시하는 바와 같이, 가스 확산 공간(50) 내에는 9개의 가스 공급부(4)가 마련되어 있다. 1개의 가스 공급부(4)는 오목부의 중앙부에 마련되고, 8개의 가스 공급부(4)는 이 중앙부를 원환상으로 등간격으로 둘러싸도록 마련되어 있다. 8개의 가스 공급부(4)로 이루어지는 원환의 직경은 바람직한 범위가 약 150㎜ 이하이며, 본 예에서는 약 100㎜이다. 또한, 가스 확산 공간(50)의 내측 벽면으로부터 상기 원환까지의 거리 d는 약 50㎜ 내지 100㎜의 범위 내의 값으로 설정되어 있다. 여기서, 가스 확산 공간(50) 내에 마련되는 가스 공급부(4)의 개수는 9개의 경우로 한정되는 것은 아니다. 예를 들면 적어도 2개, 바람직하게는 3개 이상의 가스 공급부(4)가 샤워 헤드(5)의 중심을 둘러싸는 환상의 서로 멀어진 위치에 마련되어 있으면, 단시간에 샤워 헤드(5) 내에 균일하게 가스를 공급할 수 있다. 또한, 복수의 가스 공급부(4)가 마련되는 환의 형상은 원환으로 한정되는 것이 아니며, 예를 들면 사각 환상으로 배치하여도 좋다.
도 4 및 도 5에 도시하는 바와 같이, 각 가스 공급부(4)는 천판 부재(31)에 체결되는 대좌부(43)와, 이 대좌부(43)의 하면측에 마련된 중공인 헤드부(41)를 구비한다. 천판 부재(31)의 하면에는, 상기 대좌부(43)가 삽입되는 오목부가 형성되어 있다. 대좌부(43)를 이 오목부 내에 끼워맞춤했을 때, 헤드부(41)가 천판 부재(31)의 하면으로부터 가스 확산 공간(50) 내로 돌출된 상태가 된다.
대좌부(43)에는 나사 구멍(431)이 형성되어 있다. 상기 나사 구멍(431), 및 천판 부재(31) 측의 상기 오목부 내에 형성된 나사 구멍에 나사(435)를 나사 결합시키는 것에 의해서, 천판 부재(31)에 대하여 대좌부(43)가 체결된다.
여기서, 대좌부(43)와 천판 부재(31)와의 사이의 공간에 반응 가스가 침입하여 막이 성막된다. 대좌부(43) 및 천판 부재(31)가 서로 고착되면, 가스 공급부(4)를 분리할 때 등에 파티클이 발생하는 원인이 된다. 그래서, 본 예의 대좌부(43)는 이들 파티클의 발생을 억제할 수 있는 구성으로 되어 있다.
도 5에 도시하는 바와 같이, 대좌부(43)는 천판 부재(31) 측의 오목부보다 한 사이즈 작게 형성되어 있으며, 대좌부(43)의 외주면과 천판 부재(31) 측의 오목부의 내주면과의 사이에는 예를 들면, 약 0.1㎜ 내지 1㎜ 정도의 간극(314)이 형성된다. 또한, 대좌부(43)에 있어서의 나사 구멍(431)의 상단부에는, 상부측을 향하여 돌출되는 편평한 링형상의 돌출부(432)가 돌출되어 있다. 대좌부(43)는 이 돌출부(432)의 상면측의 접촉면을 거쳐서 천판 부재(31)와 접촉한다. 대좌부(43)의 상면과, 천판 부재(31) 측의 오목부의 하면과의 사이에도 측면측과 동일한 정도의 간극(314)이 형성된다.
또한, 대좌부(43)에는, 대좌부(43)를 상하 방향으로 관통하도록, 천판 부재(31)에 형성되어 있는 후술의 가스 공급로(312)에 연통하는 가스로(434)가 형성되어 있다. 가스로(434)의 상단측의 개구부의 주위에는, 가스 공급로(312)와 가스로(434)를 기밀하게 접속하는 패킹 부재인 O링(433)이 마련되어 있다.
그 결과, 대좌부(43)는 상기 돌출부(432)의 상면측 및 O링(433)을 통해서만 천판 부재(31)와의 접촉으로 한정된다. 그 이외의 부분에서, 대좌부(43)와 천판 부재(31)와의 사이에 비교적 큰 간극(314)이 형성되게 된다. 따라서, 대좌부(43)와 천판 부재(31)에 반응 가스 및 클리닝 가스가 진입하여, 막이 형성되어도, 대좌부(43)와 천판 부재(31)가 서로 고착되기 어려워진다. 따라서, 가스 공급부(4)의 분리시 등에 파티클의 발생을 억제할 수 있다.
또한, 천판 부재(31)에 접촉하는 부분이 돌출부(432)의 상면측의 접촉면, 및 O링(433)으로 한정되며, 이들 접촉 부분은 반응 가스가 진입하는 위치로부터 먼 대좌부(43)의 상면측에 마련되어 있다. 이 때문에, 돌출부(432)의 O링(433)과 천판 부재(31) 사이 또는 접촉면과 O링(433)의 사이의 공간에 반응 가스가 진입하기 어렵다. 공간에 반응 가스가 진입했다고 하여도 그 면적이 작기 때문에, 가스 공급부(4)의 분리 등에 있어서 파티클의 발생을 억제할 수 있다.
헤드부(41)는 가스로(434)의 하단측의 개구부를 대좌부(43)의 하면측에서 덮도록 마련되며, 예를 들면 직경이 약 8㎜ 내지 20㎜의 범위 내의 예를 들면 20㎜의 편평한 원통 형상의 커버이다. 헤드부(41)의 측면에는, 둘레 방향을 따라서 일정한 간격을 두고 마련된 복수의 가스 토출구(42)가 형성되어 있다. 각 헤드부(41)에 대하여 가스 토출구(42)는 예를 들면 3개 이상 마련하는 것이 바람직하며, 본 예에서는 12개 마련되어 있다. 또한, 헤드부(41)의 하면에는 가스 토출구(42)가 마련되어 있지 않다. 따라서, 헤드부(41) 내에 유입한 가스는 각 가스 토출구(42)로부터 횡방향을 향하여 균일하게 퍼지도록 토출된다.
상술한 바와 같이, 가스 공급부(4)는 둘레 방향을 향하여 균일하게 가스를 퍼지게 할 수 있도록 구성되어 있다 가스 공급부(4)의 가스 토출구(42)로부터 토출된 가스가 샤워 헤드(5) 내에 충분히 퍼지고 나서 가스 공급구(511)를 거쳐서 처리 공간(313)에 가스가 공급되는 것에 의해서, 탑재대(2) 상의 웨이퍼(W)의 표면에 균일하게 가스가 공급된다.
또한, 가스의 토출 방향은 가스 공급부(4)의 설치 각도를 제어함으로써 제어될 수 있다. 따라서, 가스의 흐름을 제어함으로써 웨이퍼의 막 두께의 소망의 분포를 얻을 수 있다.
가스 공급부(4)가 마련된 천판 부재(31)에는, 도 1 및 도 2에 도시하는 바와 같이, 각 가스 공급부(4)에 가스를 공급하기 위한 가스 공급로(312)가 형성되어 있다. 이들 가스 공급로(312)는 천판 부재(31)와 지지판(32)의 하면과의 사이에 형성된 가스 확산부(311)에 접속되어 있다.
지지판(32)에는, 상기 가스 확산부(311)에 암모니아 가스 및 치환용의 질소 가스를 공급하기 위한 암모니아 공급로(321), 및 가스 확산부(311)에 염화 티탄 가스 및 치환용의 질소 가스를 공급하기 위한 염화 티탄 공급로(322)가 형성되어 있다. 암모니아 공급로(321) 및 염화 티탄 공급로(322)는, 배관을 거쳐서 암모니아 가스 공급부(62) 및 염화 티탄 가스 공급부(64)에 접속되어 있다. 각 배관은 질소 가스 공급부(61 또는 63)에 분기 및 접속되어 있다. 각 배관에는, 가스 공급을 허용 및 차단하는 개폐 밸브(602)와, 가스 공급량의 조정을 실행하는 유량 조정부(601)가 마련되어 있다. 또한, 도시의 편의상 도 1에 있어서는 질소 가스 공급부(61, 63)를 각각 도시했지만, 이들은 공통의 질소 공급원을 이용하여도 좋다.
이상에 설명한 구성을 구비한 성막 장치는 도 1 및 도 2에 도시하는 바와 같이 제어부(7)와 접속되어 있다. 제어부(7)는 예를 들면 도시하지 않은 CPU와 기억부를 구비한 컴퓨터를 포함한다. 기억부에는 성막 장치의 작용, 즉 탑재대(2) 상에 탑재된 웨이퍼(W)를 처리 위치까지 상승시켜, 처리 공간(313) 내에 미리 결정된 순번으로 반응 가스 및 치환용의 가스를 공급하여 TiN의 성막을 실행하고, 성막이 실행된 웨이퍼(W)를 반출할 때까지의 제어에 대한 스텝(명령)군이 조합된 프로그램이 기록되어 있다. 이 프로그램은, 예를 들면 하드 디스크, 콤팩트 디스크, 마그넷 옵티컬 디스크, 메모리 카드 등의 기억 매체에 저장되며, 그곳에서 컴퓨터에 인스톨된다.
계속해서, 본 성막 장치의 작용에 대하여 도 7 및 도 8을 참조하면서 설명한다. 우선, 미리 처리실(1) 내를 진공 분위기로 감압한 후, 탑재대(2)를 수수 위치까지 강하시킨다. 그리고, 게이트 밸브(12)를 개방하여, 반입·반출구(11)와 접속된 진공 반송실에 마련된 웨이퍼 반송 기구의 반송 아암을 진입시켜, 지지 핀(25)과의 사이에서 웨이퍼(W)의 수수를 실행한다. 그 후, 지지 핀(25)을 강하시켜, 히터(21)에 의해서 상술의 성막 온도로 가열된 탑재대(2) 상에 웨이퍼(W)를 탑재한다.
이어서, 게이트 밸브(12)를 폐쇄하고, 탑재대(2)를 처리 위치까지 상승시킨다. 다음에, 처리실(1) 내의 압력 조정을 실행한 후, 염화 티탄 가스 공급부(64)로부터 염화 티탄 가스를 공급한다(도 7). 공급된 염화 티탄 가스는 염화 티탄 공급로(322)→가스 확산부(311)→가스 공급로(312)를 거쳐서 각 가스 공급부(4)에 유입한다.
가스 공급부(4) 내에 유입한 염화 티탄 가스는 가스 토출구(42)를 거쳐서 샤워 헤드(5)의 가스 확산 공간(50) 내에 유입되며, 또한 가스 공급 영역(51)에 형성된 가스 공급구(511)를 거쳐서 처리 공간(313) 내에 공급된다.
가스 공급구(511)로부터 공급된 염화 티탄 가스는 처리 공간(313) 내를 강하하여, 탑재대(2) 상의 웨이퍼(W)에 도달한다. 염화 티탄 가스의 일부는 웨이퍼(W)에 흡착한다. 남는 염화 티탄 가스는 일부가 웨이퍼(W)의 표면에 흡착하면서 웨이퍼(W)의 표면을 따라서 직경 방향으로 방사상으로 퍼진다.
처리 공간(313) 내를 흐른 후에 환상 돌기부(53)의 하단과 커버 부재(22)와의 사이의 간극에 도달한 염화 티탄 가스는 상기 간극으로부터 상기 처리 공간(313)의 외부로 유출된 후, 배기 덕트(13)를 거쳐서 외부로 배출된다.
상술의 가스 흐름에 있어서, 샤워 헤드(5)의 주연부에 환상 돌기부(53)가 마련되며, 탑재대(2)(커버 부재(22))와 환상 돌기부(53)와의 사이의 간극의 높이가 적절히 설정되어 있는 것에 의해, 처리 공간(313)으로부터 배기 덕트(13)를 향하여 가스가 흐를 때의 압력 손실이 조정된다. 그 결과, 웨이퍼(W)에 흡착하는데 충분한 시간만큼 각 반응 가스를 처리 공간(313)에 체류시킨 후, 상기 간극이 형성되어 있는 둘레 방향 외측을 향하여 반응 가스를 균등하게 배출할 수 있다.
다음에, 염화 티탄 가스의 공급을 정지하는 동시에, 질소 가스 공급부(63)로부터 치환용의 가스인 질소 가스를 공급한다(도 7). 질소 가스는 염화 티탄 가스와 동일한 경로를 통과하여 처리 공간(313) 내에 공급된다. 상기 경로 및 처리 공간(313) 내에 존재하는 염화 티탄 가스가 질소 가스로 치환된다.
이렇게 하여, 소정 시간 질소 가스의 공급을 실행하고, 가스의 치환을 실행하면, 질소 가스의 공급을 정지하고, 암모니아 가스 공급부(62)로부터 암모니아 가스를 공급한다(도 8). 공급된 암모니아 가스는 암모니아 공급로(321)→가스 확산부(311)→가스 공급로(312)를 거쳐서 각 가스 공급부(4)로 유입한다. 그리고, 가스 공급부(4)로부터 샤워 헤드(5) 내에 토출된 암모니아 가스는 염화 티탄의 경우와 동일한 흐름을 형성하며, 처리 공간(313) 내에 공급된다.
처리 공간(313) 내를 흐르는 암모니아 가스가 웨이퍼(W)의 표면에 도달하면, 먼저 웨이퍼(W)에 흡착되어 있는 염화 티탄 가스의 성분을 질화하여 질화 티탄이 형성된다. 그 후, 가스 공급로(312)에 공급되는 가스를 질소 가스 공급부(61)로부터의 치환용의 질소 가스로 전환하고, 암모니아 가스의 공급 경로 및 처리 공간(313) 내에 존재하는 암모니아 가스를 질소 가스로 치환한다(도 8).
이와 같이 하여, 염화 티탄 가스→질소 가스→암모니아 가스→질소 가스의 순번으로 반응 가스(염화 티탄 가스 및 암모니아 가스)와 치환용의 가스(질소 가스)를 공급함으로써, 웨이퍼(W)의 표면에 질화 티탄(TiN)의 분자층이 적층된다. 따라서, 질화 티탄의 막이 웨이퍼(W)의 표면에 성막된다.
이후에, 이들 반응 가스나 치환용의 가스의 공급시에 있어서의 가스 공급부(4) 및 샤워 헤드(5)의 작용을 설명한다. 우선, 가스 공급로(312)로부터 가스 공급부(4)에 공급된 가스는, 헤드부(41)의 둘레 방향을 따라서 간격을 두고 마련된 복수의 가스 토출구(42)로부터, 횡방향으로 퍼지도록 샤워 헤드(5) 내의 공간에 토출된다. 가스 토출구(42)로부터 토출된 가스는 샤워 헤드(5) 내에서 하방측을 향하여 흐름을 변경하여, 가스 확산 공간(50)에 도달한다. 가스 확산 공간(50)에 도달한 가스는, 각 가스 공급구(511)를 거쳐서, 처리 공간(313) 내에 균일하게 공급된다(도 6 내지 도 8 참조).
가스 공급부(4)로부터 토출된 가스의 유속이 샤워 헤드(5)의 내부에서 충분히 저하된다. 저하된 유속을 갖는 가스가 다수의 가스 공급구(511)를 거쳐서 분산된다. 따라서, 각 가스 공급구(511)로부터 토출되는 반응 가스(염화 티탄 가스 또는 암모니아 가스)의 유속이 작아진다. 그 결과, 웨이퍼(W)의 표면에 도달할 때의 반응 가스의 유속이 낮아져, 막 두께의 면내 균일성이 향상된다.
한편, 치환용의 가스(질소 가스)의 공급시에는, 하면측에서 본 가스 확산 공간(50)의 면적이 웨이퍼(W)의 면적보다 작은 소형의 샤워 헤드(5)를 이용하는 것에 의해, 샤워 헤드(5) 내의 용적이 작으므로 가스를 치환하는 조작에 필요로 하는 시간이 짧다.
또한, ALD에 이용되는 반응 가스는 각각 다른 유동성을 갖고 있다. 예를 들면 염화 티탄은 좁은 유로에도 퍼지기 쉬운 특징을 갖고 있는 한편, 암모니아 가스는 염화 티탄에 비해 퍼지기 어렵다. 이때, 탑재대(2) 상의 웨이퍼(W)에 대향하는 샤워 헤드(5)의 하면이 평탄하게 되어 있으며, 웨이퍼(W)의 상면으로부터 샤워 헤드(5)의 하면까지의 거리가 일정하게 되어 있으므로, 반응 가스는 그 유동성의 차이에 의하지 않으며, 높이 치수가 균일한 처리 공간(313) 내에 균일하게 퍼질 수 있다. 그 결과, 웨이퍼(W)에 성막되는 막의 두께의 면내 균일성을 향상시킬 수 있다.
또한, 샤워 헤드(5)의 하면은 지지판(32)의 상면측에 마련된 히터(324)에 의해 가열되어 있을 뿐만 아니라, 탑재대(2)에 마련된 히터(21)에 의해서 가열되어 있는 웨이퍼(W)측으로부터의 복사에 의해도 온도가 상승한다. 따라서, 웨이퍼(W) 표면에 있어서의 반응 가스끼리의 반응은 히터(21)에 의한 가열 뿐만 아니라 샤워 헤드(5)의 온도 상태의 영향도 받는다.
이때 상술과 같이, 웨이퍼(W)의 상면과 샤워 헤드(5)의 하면과의 거리가 일정하게 되어 있는 것에 의해, 웨이퍼(W)가 샤워 헤드(5)측으로부터 받는 열의 영향이 웨이퍼(W)의 면내에서 균일하게 된다. 따라서, 막의 특성(예를 들면 후술의 비저항)을 웨이퍼(W)의 면내에서 균일하게 하는 효과가 발휘된다. 또한, 상술과 같이, 전열을 촉진하기 위한 전열 부재(54)가 샤워 헤드(5)의 가스 확산 공간(50) 내에 분산되는 것에 의해, 샤워 헤드(5)의 하면의 온도 분포가 더욱 균일하게 된다. 따라서, 웨이퍼(W)에 주는 열의 영향을 웨이퍼(W)의 면내에서 균일화할 수 있다.
이렇게 하여 염화 티탄 가스의 공급과 암모니아 가스의 공급을 예를 들면 수십회 내지 수백회 반복하여, 소망의 막 두께의 질화 티탄의 막을 성막하면, 치환용의 질소 가스를 공급하여 최후의 암모니아 가스를 배출한 후, 탑재대(2)를 수수 위치까지 강하시킨다. 그리고, 게이트 밸브(12)를 개방하고 반송 아암을 진입시켜, 반입시와는 역의 수순으로 지지 핀(25)으로부터 반송 아암에 웨이퍼(W)를 수수한다. 다음에, 성막 후의 웨이퍼(W)를 반출시킨 후, 다음의 웨이퍼(W)의 반입을 기다린다.
본 실시형태에 따른 성막 장치에 의하면 이하의 효과가 있다. 성막 대상의 웨이퍼(W)보다 면적이 작은 가스 확산 공간(50)을 갖는 샤워 헤드(5)를 이용하고, 이 샤워 헤드(5)의 내측에 복수의 가스 공급부(4)를 마련하고 있으므로, 반응 가스와 치환용의 가스의 치환을 단시간에 실행할 수 있다. 또한, 각 가스 공급부(4)에는, 각각 횡방향으로 가스를 퍼지게 하도록 둘레 방향을 따라서 가스 토출구(42)가 형성되어 있다. 반응 가스는 흐름 방향을 변경하고 나서 샤워 헤드(5)의 하면에 마련된 가스 공급구(511)를 빠져나간다. 따라서, 샤워 헤드의 전체 면으로부터 균일하게 반응 가스가 공급되어, 웨이퍼(W)에 성막되는 막 두께의 면내 균일성을 향상시킬 수 있다.
샤워 헤드(5) 내에 있어서의 가스 공급부(4)의 배치는, 도 5에 도시한 바와 같이 하나의 가스 공급부(4)가 중앙에 마련되고 복수의 가스 공급부(4)가 중앙의 가스 공급부(4)의 주위에 원환상으로 배치되는 예로 한정되지 않는다. 예를 들면 격자 형상으로 가스 공급부(4)를 배치하여도 좋다.
또한, 샤워 헤드(5)의 하면으로부터 각 가스 공급부(4)의 하단부를 관통시켜, 이들 가스 공급부(4)에 의해서 샤워 헤드(5)를 지지하는 구조로 함으로써, 가스 확산 공간(50)의 높이 치수를 더욱 작게 한다. 따라서, 반응 가스의 치환성을 향상시킬 수 있다.
이 외에, 가스 공급부(4)의 헤드부(41)에 마련된 가스 토출구(42)의 구성은 도 4에 예시한 것에 한정되지 않는다. 예를 들면, 헤드부(41)의 측면의 둘레 방향으로 연장되는 하나의 슬릿을 형성하여도 좋고, 이 슬릿을 그물눈 형상의 부재로 덮은 구성으로 하여도 좋다. 또한, 가스 공급부(4)에 헤드부(41)를 마련하는 것도 필수의 요건은 아니다. 예를 들면, 가스 공급로(312)로부터 토출되는 가스가 선회류를 형성하면서 샤워 헤드(5) 내에 토출되도록 나선 형상의 유로 등에 의해 가스 공급로(312)를 형성하여도 좋다. 이 경우에 있어서 선회류를 형성하면서 토출된 가스는 샤워 헤드(5) 내에 횡방향으로 퍼진다. 가스의 유속이 저하된 후, 가스 공급구(512)로부터 처리 공간(313)으로 가스가 균일하게 공급된다.
또한, 본 발명의 성막 장치에서는, 상술의 TiN 막의 성막 이외에, 금속 원소, 예를 들면 주기표의 제 3 주기의 원소인 Al, Si 등, 주기표의 제 4 주기의 원소인 Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge 등, 주기표의 제 5 주기의 원소인 Zr, Mo, Ru, Rh, Pd, Ag 등, 주기표의 제 6 주기의 원소인 Ba, Hf, Ta, W, Re, lr, Pt 등의 원소를 포함한 막을 성막하여도 좋다. 이들 금속 원소의 유기 금속 화합물이나 무기 금속 화합물을 반응 가스(원료 가스)로 이용할 수 있다. 웨이퍼(W) 표면에 흡착시키는 금속 원료로서는, TiCl4 이외에, 예를 들면 BTBAS(비스터셔리부틸아미노실란(Bis tertiarybutylamino Silane)), DCS(디클로로실란(dichlorosilane)), HCD(헥사클로로디실란(hexachlorodisilane)), TMA(트리메틸 알루미늄(Trimethyl Aluminum)), 3DMAS(트리스디메틸아미노실란(Tris dimethylaminosilane)) 등을 들 수 있다.
또한, 웨이퍼(W)의 표면에 흡착된 원료 가스를 반응시켜서, 소망의 막을 얻는 반응에는, 예를 들면 O2, O3, H2O 등을 이용한 산화 반응, H2, HCOOH, CH3COOH 등의 유기산, CH3OH, C2H5OH 등의 알코올류 등을 이용한 환원 반응, CH4, C2H6, C2H4, C2H2 등을 이용한 탄화 반응, NH3, NH2NH2, N2 등을 이용한 질화 반응 등의 각종 반응을 이용하여도 좋다.
또한, 반응 가스로서, 3종류의 반응 가스나 4종류의 반응 가스를 이용하여도 좋다. 예를 들면 3종류의 반응 가스를 이용하는 경우의 예로서는, 티탄스트론튬 산화물(SrTiO3)을 성막하는 경우가 있다. 예를 들면 Sr 원료인 Sr(THD)2(스트론튬 테트라메틸헵탄디오네이트(Strontium tetramethylheptanedionate))와, Ti 원료인 Ti(OiPr)2(THD)2(티타늄 비스 이소프로폭사이드비스테트라메틸헵탄디오네이트(titanium bis isoproxide bis tetramethylheptanedionate))와, 이들 산화 가스인 오존 가스가 이용된다. 이 경우에는, Sr 원료 가스→치환용의 가스→산화 가스→치환용의 가스→Ti 원료 가스→치환용의 가스→산화 가스→치환용의 가스의 순서로 가스가 전환된다. 또한, 성막 처리를 실행하는 기판으로서 원형의 웨이퍼(W)에 대해 설명했지만, 예를 들면 직사각형의 유리 기판(LCD용 기판)에 대하여 본 발명을 적용하여도 좋다.
[실험예]
(실험 1)
상이한 성막 장치를 이용하여 웨이퍼(W)에 염화 티탄 가스와 암모니아 가스를 교대로 공급하여, ALD법에 의해 성막한 TiN 막의 특성을 조사했다.
A. 실험 조건
(실험예 1-1)
도 1 내지 도 6을 이용하여 설명한 구성을 구비하는 성막 장치에 의해, 반응 가스 및 치환용의 가스를, 염화 티탄 가스→질소 가스→암모니아 가스→질소 가스의 순서로 공급하는 182 사이클을 실행하여, 성막된 TiN 막의 비저항(시트 저항) 및 막 두께를 측정했다. 웨이퍼(W)의 가열 온도는 440℃로 설정했다.
(참고예 1-1)
도 9에 도시하는 성막 장치를 이용하여, 실험예 1-1과 동일한 조건으로 TiN 막을 성막했다. 이후에, 도 9의 성막 장치에 대하여 설명한다. 중앙측으로부터 외주측을 향하여 끝이 넓어지는 형상의 경사면(310)이 형성된 천판 부재(31a)의 중앙부에, 직경이 200㎜인 샤워 헤드(5a)를 마련한다. 또한, 각각 가스 토출구(42)를 구비한 8개의 가스 공급부(4a)를 도 6에 도시한 예와 샤워 헤드(5a) 내측에 배치했다. 중앙부의 가스 공급부(4a)의 직경은 15㎜이며, 원환상으로 배치된 가스 공급부(4a)의 직경은 10㎜이다. 또한, 8개의 가스 공급부(4a)로 이루어지는 원환의 직경은 100㎜이다. 샤워 헤드(5a)의 저면측에 형성된 가스 공급구(511)에서 뿐만 아니라 측면에 형성된 가스 공급구(521)를 거쳐서 처리 공간(313) 내에 가스가 공급된다. 또한, 도 9에 있어서 도 1 내지 도 6에 도시한 성막 장치와 공통의 구성 요소에는, 이들 도면에서 이용한 것과 동일한 도면부호를 부여하고 있다.
B. 실험 결과
도 10에 웨이퍼(W) 면내에 있어서의 정규화된 비저항의 분포를 도시한다. 도 11에 정규화된 막 두께의 분포를 도시한다. 도 10 및 도 11에서, 횡축은 웨이퍼(W)의 직경 방향의 위치를 나타내며, 종축은 각 위치에 있어서의 비저항 또는 막 두께의 측정 결과를 정규화한 값을 나타내고 있다. 도 10 및 도 11에서, 실선이 실험예 1-1의 결과를 나타내고, 파선이 참고예 1-1의 결과를 나타내고 있다. 정규화 데이터는, 비저항 및 막 두께의 각 값의 측정 결과에서, 웨이퍼(W) 면내에 있어서의 이들 값의 평균값을 빼는 것에 의해 구했다.
도 10에 도시되는 바와 같이, 웨이퍼(W)에 성막된 TiN 막의 비저항은 실험예 1-1에서 웨이퍼(W)의 중앙부측이 높고 웨이퍼(W)의 주연부측이 낮으며, 비저항의 면내 균일성은 참고예 1-1에 비해 실험예 1-1에서 향상되어 있다. 또한, 「(표준 편차(σ)/(평균값 Ave)×100」으로 나타나는 유니포미티(uniformity)의 값으로 비교하면, 참고예 1-1은 5.3[%]인데 반하여, 실험예 1-1은 2.6[%]이 되어, 실험예 1-1에서 면내 균일성이 향상된 것을 의미한다.
또한, 도 11에 도시하는 바와 같이, 막 두께의 비교에 의하면, 웨이퍼(W)의 중앙부의 주위의 막 두께가 두껍고, 웨이퍼(W)의 외주측의 막 두께가 얇게 되어, 참고예 1-1에 비해 실험예 1-1은 막 두께의 관점에서도 면내 균일성이 향상되어 있다. 또한, 상술의 유니포미티의 값으로 비교하면, 참고예 1-1은 1.2[%]인데 비하여, 실험예 1-1은 1.6[%]이다. 면내 균일성의 값으로서는 2% 이하로 동등하지만, 면내에서 막 두께의 증감이 적고 실험예 1-1에서 디바이스 특성에 영향이 나오기 어렵다는 평가 결과를 얻고 있다.
도 9에 도시한 참고예에 따른 성막 장치에 비하여, 실험예에 따른 성막 장치를 이용하는 것에 의해서, 비저항이나 막 두께의 면내 균일성이 향상된 이유는, 경사면(310)을 구비하는 천판 부재(31a)를 이용하는 경우보다, 평탄한 하면을 갖는 천판 부재를 이용하는 경우가, 반응 가스의 유동 상태나 웨이퍼(W)의 가열 상태의 균일성이 개선된 결과가 아닌지 고려할 수 있다.
(실험 2)
실험 1의 실험예, 참고예에 따른 성막 장치에 있어서의 샤워 헤드(5, 5a)의 치환성을 비교했다.
A. 실험 조건
상술의 실험예 1-1에서, 염화 티탄 가스와 암모니아 가스 사이에 공급되는 치환용의 질소 가스의 공급 시간은 0.5초이다. 또한, 상술의 참고예 1-1에서는, 실험예 1-1과 동일한 유량의 질소 가스가 0.3초 공급되어 있다.
(비교예 2-1)
질소 가스의 공급 시간을 0.3초로 한 점 이외는 실험예 1-1과 동일한 조건으로 성막을 실행했다.
B. 실험 결과
도 12에 실험예 1-1, 참고예 1-1, 및 비교예 2-1에서 성막된 TiN 막의 막 두께의 분포를 도시한다. 횡축은 웨이퍼(W)의 직경 방향의 위치를 나타내고, 종축은 각 위치에 있어서의 막 두께를 나타내고 있다. 도 12에 있어서, 실험예 1-1은 실선, 참고예 1-1은 파선, 비교예 2-1은 일점쇄선으로 나타내고 있다.
도 12에 나타낸 비교예 2-1의 결과에 의하면, 질소 가스의 공급 시간을 참고예 1-1과 동일한 0.3초까지 짧게 하면, 웨이퍼(W)에 형성되는 TiN 막의 막 두께가 급격하게 증대했다. 이것은, 도 9의 샤워 헤드(5a)와 비교하여 가스 확산 공간(50)의 용적이 큰 샤워 헤드(5)(도 2)에서는, 질소 가스의 공급 시간이 짧으면, 반응 가스의 치환이 충분하지 않으며, 이것은 처리 공간(313) 내에서 CVD(Chemical Vapor Deposition) 반응이 진행되어 버렸기 때문이라고 생각할 수 있다.
한편, 실험예 1-1의 경우에서와 같이, 질소 가스의 공급 시간을 0.5초까지 길게 하면, 참고예 1-1과 동일한 정도의 평균 막 두께를 갖는 TiN 막을 성막 가능한 ALD 반응 실현할 수 있는 것이 확인되고 있다. 질소 가스의 공급 시간을 0.5초까지 길게 함으로써, 1매의 웨이퍼(W)에 성막을 실행하는데 필요한 시간은 길어진다. 그러나, 장치의 가동에 문제는 없기 때문에, 충분히 실용적인 시간이라고 평가하고 있다.
(실험 3)
도 1 내지 도 6에 도시하는 성막 장치를 이용하여 다수 매의 웨이퍼(W)를 처리할 때의 열이력의 영향을 조사했다.
A. 실험 조건
(예비 실험 3-1)
웨이퍼(W)가 탑재되는 탑재대(2) 측에 마련된 히터(21)의 온도를 변화시켰을 때의 샤워 헤드(5)의 하면의 온도 변화를 조사했다. 천판 부재(31) 측의 히터(324)의 온도는 175℃로 고정했다.
(실험예 3-1)
실험예 1-1과 동일한 조건으로 1000매의 웨이퍼(W)를 처리했을 때의 면간의 비저항의 변화를 조사했다.
B. 실험 결과
도 13에 예비 실험 3-1의 결과를 나타낸다. 도 13에서, 횡축은 시간, 종축은 샤워 헤드(5)의 하면의 복수 개소의 온도를 측정한 결과 중, 온도가 최고가 된 개소의 온도를 나타내고 있다. 또한, 도 13에는 히터(21)의 설정 온도를 그 설정 기간과 함께 병기하고 있다.
도 13에 나타낸 결과에 의하면, 탑재대(2)측 히터(21)의 설정 온도를 550℃까지 상승시켰을 경우라도, 샤워 헤드(5)의 하면의 온도는 TiN 막의 이상값이 관찰되는 200℃ 이하로 억제할 수 있었다.
도 14에는 실험예 1-1과 동일한 조건에서 1000매의 웨이퍼(W)를 처리했을 때의 TiN 막의 비저항의 변화를 나타내고 있다. 도 14에서, 횡축은 웨이퍼(W)의 처리 매수, 좌측의 종축은 면내에 있어서의 비저항의 평균값, 우측의 종축은 비저항의 1σ% 값을 나타내고 있다. 도 14에서, 비저항의 평균값은 검은색 동그라미로 도시하고, 1σ% 값은 흰색 동그라미로 도시하고 있다.
도 14의 결과에 따르면, 비저항의 평균값은 처리 매수의 증가와 함께 서서히 증가하는 경향이 보인다. 이것은, 웨이퍼(W)의 처리 매수의 증가에 따라서 열이력에 의해 샤워 헤드(5)의 온도가 상승하여, 성막이 진행되는 속도가 상승한 결과라고 고려된다. 한편, 면내 균일성을 나타내는 1σ% 값은 거의 일정한 값으로 추이하고 있다. 이것은, 하면이 평탄한 샤워 헤드(5)를 이용하는 것에 의해, 웨이퍼(W)의 처리 매수의 증가(샤워 헤드(5)의 온도 상승)에 상관없이, 각 웨이퍼(W)의 면내에서 균일한 성막 처리를 안정되게 실행할 수 있는 것을 나타내고 있다고 말할 수 있다.
(실험 4)
가스 공급부(4, 4a)의 직경의 차이가 가스의 확산 상태에 미치는 영향을 시뮬레이션했다.
A. 실험 조건
(실험예 4-1)
도 1 및 도 2에 도시하는 샤워 헤드(5)에 있어서, 가스 확산 공간(50) 내의 가스의 확산 상태를 시뮬레이션했다. 가스 공급부(4)의 직경은 약 19㎜로 했다.
(참고예 4-1)
도 9에 도시하는 샤워 헤드(5a)에 있어서 샤워 헤드(5a) 내의 가스의 확산 상태를 시뮬레이션했다. 중심부의 가스 공급부(4a)를 둘러싸는 가스 공급부(4a)의 직경은 약 8㎜로 했다.
도 15a에 실험예 4-1의 시뮬레이션 결과를 도시한다. 도 15b에 참고예 4-1의 시뮬레이션 결과를 도시한다. 도면 중의 파선의 화살표는, 샤워 헤드(5, 5a)(가스 확산 공간(50)) 내에 있어서, 각 가스 공급부(4, 4a)로부터 토출된 가스가 도달한 위치를 도시하고 있다.
도 15a 및 도 15b에 도시한 시뮬레이션 결과에 의하면, 직경이 큰 가스 공급부(4)가 샤워 헤드(5) 내에 의해 균일하게 가스를 분산시킬 수 있는 것을 알 수 있다. 또한, 가스 공급부(4, 4a) 부근의 가스의 흐름을 실험한 다른 시뮬레이션 결과에 의하면, 직경이 큰 가스 공급부(4)의 쪽이, 가스 공급부(4)로부터 공급된 가스가 횡방향을 흐르는 벡터가 크고, 가스 확산 공간(50) 내의 보다 넓은 영역에 균일하게 가스를 공급하는 능력을 구비하고 있는 것을 알 수 있다. 이와 같이, 샤워 헤드(5)(가스 확산 공간(50)) 내에 균일하게 가스를 확산시킬 수 있는 결과, 성막 대상의 웨이퍼(W)의 표면에도 균일하게 반응 가스를 공급하여, 면내 균일성이 높은 막 두께를 갖는 TiN 막을 성막할 수 있다고 고려할 수 있다.
본 발명을 실시예를 참조하여 도시 및 설명하였지만, 하기의 특허청구범위에 한정된 본 발명의 영역을 벗어남이 없이 다양한 수정 및 변경이 본 기술 분야에 숙련된 자들에 의해 이뤄질 수 있다.
1 : 처리 용기
2 : 탑재대
5, 5a : 샤워 헤드
7 : 제어부
31 : 천판 부재
41 : 헤드부
42 : 가스 토출구
43 : 대좌부
50 : 확산 공간
53 : 환상 돌기부
54 : 전열 부재
313 : 처리 공간
432 : 돌출부
433 : O링
511 : 가스 공급구
W : 웨이퍼

Claims (8)

  1. 진공 분위기인 처리실 내의 기판에 대하여 서로 반응하는 복수 종류의 반응 가스를 순번으로 공급하고, 하나의 반응 가스의 공급과 다음의 반응 가스의 공급 사이에 치환용의 가스를 공급하여 성막 처리를 실행하는 성막 장치에 있어서,
    상기 처리실에 마련되며, 기판이 탑재되도록 구성된 탑재부와;
    상기 탑재부에 대향하는 평탄한 면을 구비하고, 또한 복수의 가스 공급구를 포함하는 샤워 헤드와;
    상기 가스 공급구가 형성된 영역을 둘러싸고 하방측으로 돌출되도록 상기 샤워 헤드에 마련된 환상 돌기부로서, 상기 탑재부의 기판 탑재 영역의 외방측에서, 상기 탑재부의 상면과 상기 환상 돌기부 사이에 간극을 형성하도록 배치된, 상기 환상 돌기부와;
    상기 샤워 헤드의 상방측에 형성된 천정부에 마련된 복수의 가스 공급부로서, 각각의 가스 공급부는 둘레 방향을 따라서 형성된 가스 토출구를 포함하며, 상기 가스 토출구는 상기 샤워 헤드와 상기 천정부에 의해 둘러싸인 확산 공간에 횡방향으로 가스를 퍼지게 하도록 구성되어 있는, 상기 복수의 가스 공급부와;
    상기 처리실을 진공배기시키도록 구성된 배기부를 포함하며,
    각각의 가스 공급부는,
    가스로가 형성된 대좌부로서, 상기 가스로는 패킹 부재를 거쳐서 상기 천정부에 형성된 가스 공급로와 연통되며, 상기 대좌부는 상기 천정부에 나사 고정되는, 상기 대좌부와,
    상기 가스로로부터 유입한 가스가 확산하는 공간을 형성하고, 그 측주면에 상기 가스 토출구가 형성된 헤드부를 구비하며,
    상기 대좌부는 상기 패킹 부재와, 상기 천정부와 접촉하는 돌출부를 거쳐서 상기 천정부와 접촉하며, 다른 영역에 있어서는 상기 대좌부와 상기 천정부 사이에 간극이 형성되어 있는 것을 특징으로 하는
    성막 장치.
  2. 제 1 항에 있어서,
    상기 가스 토출구는, 평면에서 보았을 때 상기 샤워 헤드의 중앙부측과 주연부측을 향하여 가스가 퍼지게 하는 위치에 마련되어 있는 것을 특징으로 하는
    성막 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 가스 공급부는 상기 샤워 헤드의 중심을 둘러싸는 원환상의 서로 멀어진 3개 이상의 위치에 마련되어 있는 것을 특징으로 하는
    성막 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 천정부를 거쳐서 상기 샤워 헤드의 온도를 조정하기 위한 온도 조정부와,
    상기 확산 공간 내에 마련되며, 상기 샤워 헤드와 상기 천정부와의 사이의 전열을 촉진하기 위해서 상기 샤워 헤드와 상기 천정부를 연결하는 전열 부재를 더 구비하며,
    상기 샤워 헤드와 상기 천정부는 별개의 부재인 것을 특징으로 하는
    성막 장치.
  5. 제 4 항에 있어서,
    상기 샤워 헤드의 면은 상기 가스 공급구가 형성되는 내측 영역과, 그 외측 영역을 포함하며, 상기 내측 영역 및 상기 외측 영역은 서로 동일 평면인 것을 특징으로 하는
    성막 장치.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 확산 공간은, 평면에서 보았을 때 상기 확산 공간의 외연이 상기 탑재부에 탑재된 기판의 외연보다 내측에 위치되도록 배치되어 있는 것을 특징으로 하는
    성막 장치.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 기판이 원판이고, 상기 확산 공간을 평면에서 보았을 때 원형이며, 상기 확산 공간의 반경 대 상기 원판의 반경의 비가 4/15 내지 9/10의 범위 내인 것을 특징으로 하는
    성막 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    각각의 가스 공급부는, 그 측주면에 상기 가스 토출구가 형성되며, 직경이 8㎜ 내지 20㎜인 범위의 원통 형상의 헤드부를 구비하는 것을 특징으로 하는
    성막 장치.
KR1020150036811A 2014-03-18 2015-03-17 성막 장치 KR101745074B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014055146A JP6379550B2 (ja) 2014-03-18 2014-03-18 成膜装置
JPJP-P-2014-055146 2014-03-18

Publications (2)

Publication Number Publication Date
KR20150108780A KR20150108780A (ko) 2015-09-30
KR101745074B1 true KR101745074B1 (ko) 2017-06-08

Family

ID=54141539

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150036811A KR101745074B1 (ko) 2014-03-18 2015-03-17 성막 장치

Country Status (4)

Country Link
US (1) US9885114B2 (ko)
JP (1) JP6379550B2 (ko)
KR (1) KR101745074B1 (ko)
TW (1) TWI682055B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190011191A (ko) * 2017-07-24 2019-02-01 도쿄엘렉트론가부시키가이샤 가스 처리 장치
WO2021042114A1 (en) * 2019-08-28 2021-03-04 Lam Research Corporation Metal deposition
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US12074029B2 (en) 2018-11-19 2024-08-27 Lam Research Corporation Molybdenum deposition

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) * 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7119747B2 (ja) * 2018-08-10 2022-08-17 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
DE102019117479A1 (de) * 2019-06-28 2020-12-31 Aixtron Se In einem CVD-Reaktor verwendbares flaches Bauteil
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220178029A1 (en) 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP2022189179A (ja) * 2021-06-10 2022-12-22 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP2022189180A (ja) * 2021-06-10 2022-12-22 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI800235B (zh) * 2022-01-24 2023-04-21 矽碁科技股份有限公司 原子層沉積系統
TWI815757B (zh) * 2022-01-24 2023-09-11 矽碁科技股份有限公司 原子層沉積系統
JP2024085610A (ja) * 2022-12-15 2024-06-27 東京エレクトロン株式会社 成膜装置及び成膜方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077337A (ja) * 1998-08-31 2000-03-14 Toshiba Corp ガス処理装置およびガス処理方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5324386A (en) * 1991-03-19 1994-06-28 Fujitsu Limited Method of growing group II-IV mixed compound semiconductor and an apparatus used therefor
US5284805A (en) * 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5328585A (en) * 1992-12-11 1994-07-12 Photran Corporation Linear planar-magnetron sputtering apparatus with reciprocating magnet-array
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5486975A (en) * 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
JP4260404B2 (ja) 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
JP4218360B2 (ja) * 2002-04-24 2009-02-04 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2004111297A1 (ja) * 2003-06-10 2004-12-23 Tokyo Electron Limited 処理ガス供給機構、成膜装置および成膜方法
CN100554505C (zh) * 2004-06-28 2009-10-28 剑桥纳米科技公司 气相沉积系统和方法
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP2006299294A (ja) 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP5547366B2 (ja) * 2007-03-29 2014-07-09 東京エレクトロン株式会社 プラズマ処理装置
KR100900318B1 (ko) 2007-06-21 2009-06-02 주식회사 아이피에스 박막증착장치용 샤워헤드 및 박막증착장치 세정방법
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2009104732A1 (ja) 2008-02-20 2009-08-27 東京エレクトロン株式会社 ガス供給装置
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077337A (ja) * 1998-08-31 2000-03-14 Toshiba Corp ガス処理装置およびガス処理方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
KR20190011191A (ko) * 2017-07-24 2019-02-01 도쿄엘렉트론가부시키가이샤 가스 처리 장치
KR102157419B1 (ko) * 2017-07-24 2020-09-17 도쿄엘렉트론가부시키가이샤 가스 처리 장치
US11578408B2 (en) 2017-07-24 2023-02-14 Tokyo Electron Limited Gas processing apparatus
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US12074029B2 (en) 2018-11-19 2024-08-27 Lam Research Corporation Molybdenum deposition
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
WO2021042114A1 (en) * 2019-08-28 2021-03-04 Lam Research Corporation Metal deposition

Also Published As

Publication number Publication date
JP2015175060A (ja) 2015-10-05
TWI682055B (zh) 2020-01-11
US20150267298A1 (en) 2015-09-24
JP6379550B2 (ja) 2018-08-29
TW201546315A (zh) 2015-12-16
US9885114B2 (en) 2018-02-06
KR20150108780A (ko) 2015-09-30

Similar Documents

Publication Publication Date Title
KR101745074B1 (ko) 성막 장치
KR101804597B1 (ko) 성막 장치
JP5929429B2 (ja) 成膜装置
US10151028B2 (en) Film deposition apparatus
JP5696619B2 (ja) 成膜装置
JP6503730B2 (ja) 成膜装置
US20100068383A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
JP2014074190A (ja) 成膜装置
KR101932870B1 (ko) 성막 장치 및 성막 방법
JP6221932B2 (ja) 成膜装置
US10217642B2 (en) Substrate processing apparatus, substrate processing method and substrate holding member
TW202117065A (zh) 氣體導入構造、熱處理裝置及氣體供給方法
JP6308318B2 (ja) 成膜装置
JP2016156094A (ja) 成膜装置
US20190390346A1 (en) Deposition method and deposition apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant