TWI682055B - 成膜裝置 - Google Patents

成膜裝置 Download PDF

Info

Publication number
TWI682055B
TWI682055B TW104107544A TW104107544A TWI682055B TW I682055 B TWI682055 B TW I682055B TW 104107544 A TW104107544 A TW 104107544A TW 104107544 A TW104107544 A TW 104107544A TW I682055 B TWI682055 B TW I682055B
Authority
TW
Taiwan
Prior art keywords
gas
gas supply
shower head
patio
film
Prior art date
Application number
TW104107544A
Other languages
English (en)
Other versions
TW201546315A (zh
Inventor
斉藤哲也
太田智浩
高木俊夫
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201546315A publication Critical patent/TW201546315A/zh
Application granted granted Critical
Publication of TWI682055B publication Critical patent/TWI682055B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

提供一種反應氣體與置換氣體之置換性高且 可形成面內均勻性良好的膜之成膜裝置。

成膜裝置,係對作為真空環境之處理室 內的基板(W)依序供給相互反應之複數個種類的反應氣體,而進行成膜處理,噴頭(5),係具備有與載置部(2)(該載置部,係載置有基板W)相對向的平坦面,且形成有複數個氣體供給口(511)。環狀突起部(53),係設置為包圍形成有氣體供給口(511)的區域而朝下方側突出,並且在與載置部(2)的上面之間形成間隙。在噴頭(5)與其上方側之天井部(31)之間所包圍的擴散空間(50),係設置有複數個氣體供給部(4)(該氣體供給部,係以將氣體擴散於各個橫方向的方式,沿著圓周方向而形成有氣體吐出口),前述擴散空間(50)的外緣,係位在比載置於載置部之基板(W)的外緣更往內側。

Description

成膜裝置
本發明,係對基板依序供給相互反應之複數個種類的反應氣體,而形成膜的成膜裝置。
作為在作為基板之例如半導體晶圓(以下稱為「晶圓」)形成膜的手法,已知有被稱為對晶圓依序供給相互反應之複數個種類的反應氣體即所謂的ALD(Atomic Layer Deposition)法或MLD(Multi Layer Deposition)法(以下,將該些總稱為ALD法)等的方法。
在這樣的成膜方法中,提出一種對晶圓供給反應氣體的多種氣體供給機構。例如在引用文獻1、2中,係記載有噴頭,該噴頭,係藉由上下隔開一間隔配置複數片板體的方式,經由中段之板體構成上下層疊的氣體擴散空間(在引用文獻1中,係記載為空間11a、11b;在引用文獻2中,係記載為氣體擴散空間50、空間81),並設置有從各個擴散空間朝最下段之噴灑板的下面形成開口的多數個氣體流路。
上述型式之噴頭,係從相互隔離之氣體擴散空間各別供給複數個種類的反應氣體,因此,可避免氣體擴散空間內的反應氣體彼此混合,而防止噴頭內的反應生成物沈積。
另一方面,為了從上下層疊的各氣體擴散空間,以不相互混合的方式供給反應氣體,而必須設置多數個貫穿下段側之氣體擴散空間且與上段側之氣體擴散空間連通的氣體流路用之導管,從而使噴頭的構造變得非常複雜。
對於像這樣課題,申請人開發了一種簡單構成的噴頭,該噴頭,係在共用之氣體擴散空間進行切換並供給複數個種類的反應氣體。在利用共用之氣體擴散空間時,為了防止反應生成物沈積,而必須在供給一反應氣體後至供給接下來的反應氣體之間,供給惰性氣體等以執行氣體之置換。
在執行反應氣體之置換時,儘可能縮短置換操作所需之時間,係形成有效地進行成膜的重要課題。又,近年來,有時會要求將以奈米級所成膜之膜的晶圓面內之膜厚的均勻性(例如後述之1 σ %值(標準偏差σ除以平均值而以百分率表示的值))設成為2%左右以內,因此,望能開發一種不僅置換性佳且更可實現面內均勻性良好之成膜的噴頭。
對於該些要求,記載於引用文獻1、2的噴頭,係具備有涵蓋對應於晶圓全面之區域而擴展的大氣體擴散空間,即使在氣體擴散空間之一側進行切換並供給反 應氣體或置換氣體,亦需要長時間來進行置換操作。
又,在引用文獻1、2中,係記載有將反應氣體供給至各氣體擴散空間的氣體供給部(關於引用文獻1,係設置於管部10j的吐出口121;關於引用文獻2,係具備有吐出口55之吐出埠56及氣體吐出管83)。然而,並未揭示在進行切換並供給反應氣體或置換氣體的噴頭中,對提升被成膜之膜的均勻性而言,應具備有該些氣體供給部之特別的技術特徵。
在此,申請人,係藉由如引用文獻3所示,在具有從中央朝向外周逐漸擴展之形狀之傾斜面構造之天井部的中央區域中,設置面積小於成膜對象為晶圓的噴頭(在同文獻中,係記載為「氣體供給噴嘴」),來開發一種提高置換性的成膜裝置。
然而,在多數個穿設於噴頭的氣體供給口中,例如比較將氣體導入至噴頭內之氣體供給路徑的正下方位置與遠離該位置的位置,從位於氣體供給路徑正下方之氣體供給口流出之反應氣體的流速有時會變高。該結果,有因從各氣體供給口流出之氣體流速的不同,而造成吸附於晶圓之反應氣體的量不同,且在晶圓面內膜的厚度略產生變化之虞。但是,如前述,當被要求1 σ %值為2%以內這樣的高面內均勻性時,則亦必須改善像這樣些許膜厚的差異。
〔先前技術文獻〕 〔專利文獻〕
〔專利文獻1〕日本特開2002-327274號公報:0032~0034段;圖1、3、6、7
〔專利文獻2〕日本特開2006-299294號公報:0020、0024段;圖2、3、5
〔專利文獻3〕日本特開2009-224775號公報:0068~0072段;圖15~17
本發明,係有鑑於像這樣之情事而進行研究者,該目的,係提供一種反應氣體與置換氣體之置換性高且可形成面內均勻性良好的膜之成膜裝置。
本發明之成膜裝置,係對作為真空環境之處理室內的基板依序供給相互反應之複數個種類的反應氣體,在供給一反應氣體與供給接下來的反應氣體之間,供給置換用氣體而進行成膜處理,該成膜裝置,其特徵係,具備有:載置部,設置於前述處理室內,且載置有基板;噴頭,具備有與前述載置部相對向的平坦面,且形成有複數個氣體供給口;環狀突起部,配置為以包圍形成有前述複數個氣體供 給口之區域而朝下方側突出的方式,設置於前述噴頭,在前述載置部之載置有基板之區域的外方側,在與該載置部的上面之間形成間隙;複數個氣體供給部,設置於天井部(該天井部,係形成於前述噴頭的上方側),在噴頭與天井部之間所包圍的擴散空間,以將氣體擴散於各個橫方向的方式,沿著圓周方向而形成有氣體吐出口;及排氣部,對前述處理室內進行真空排氣;前述擴散空間的外緣,係位於比載置於前述載置部之基板的外緣更往內側。
前述成膜裝置,係亦可具備以下特徵。
(a)形成於前述氣體供給部之氣體吐出口,係以平面觀看前述噴頭時,設置於形成朝向該噴頭之中央部側與周緣部側而擴展之氣體流向的位置。前述氣體供給部,係在包圍前述噴頭之中心的環上之相互分離的位置,設置有3個。
(b)前述噴頭與天井部,係藉由互不相同的構件所構成,且具備有:溫度調整部,經由前述天井部而用以調整噴頭之溫度;及傳熱構件,設置於前述擴散空間內,且為了促進前述噴頭與天井部之間的傳熱,而連接該些構件間。前述噴頭,係與包含形成有複數個氣體供給口的區域及其外方側的區域之前述載置台相對向的面為一體構成。
(c)前述氣體供給部,係具備有:台座部,對形成 於前述天井部側的氣體供給路徑,形成有經由密合構件而連通的氣體路徑,且藉由螺絲固定於該天井部;及頭部,形成從前述氣體路徑流入之氣體用以擴散的空間,且在其側周面形成有前述氣體吐出口,前述台座部,係在前述密合構件與突部(該突部,係設置於前述螺絲用之螺絲孔的上端部,且形成有與前述天井部接觸的接觸面)中,接觸於前述天井部,在其他區域,係在與天井部之間形成有間隙。
(d)前述基板,係圓板,以平面觀看前述擴散空間時的形狀為圓形,在將前述圓板之半徑設成為R,將前述底面部之圓的半徑設成為r時,r/R之值,係在4/15以上、9/10以下的範圍內。前述氣體供給部,係在其側周面形成有前述氣體吐出口,且具備有直徑為8毫米以上、20毫米以下之範圍的圓筒形狀之頭部。
本發明,係使用噴頭(該噴頭,係具有面積小於成膜對象為基板的擴散空間),且在該噴頭之內側設置複數個氣體供給部,因此,可在短時間內進行反應氣體與置換用氣體之置換。又,在各氣體供給部,係以將氣體擴散於各個橫方向的方式,沿著天井部之圓周方向而形成有氣體吐出口,由於反應氣體,係在改變流動方向後,穿過設置於噴頭之下面的氣體供給口,因此,可從噴頭全面均勻地供給反應氣體,而使成膜於基板之膜厚的面內均勻 性提升。
W‧‧‧晶圓
1‧‧‧處理容器
2‧‧‧載置台
31‧‧‧頂板構件
313‧‧‧處理空間
4、4a‧‧‧氣體供給部
41‧‧‧頭部
42‧‧‧氣體吐出口
43‧‧‧台座部
432‧‧‧突部
433‧‧‧O形環
5、5a‧‧‧噴頭
50‧‧‧擴散空間
511‧‧‧氣體供給口
53‧‧‧環狀突起部
54‧‧‧傳熱構件
7‧‧‧控制部
〔圖1〕本發明之成膜裝置的縱剖面圖。
〔圖2〕前述成膜裝置的一部分放大縱剖面圖。
〔圖3〕設置於前述成膜裝置之頂板構件的立體圖。
〔圖4〕設置於前述頂板構件之氣體供給部的立體圖。
〔圖5〕前述氣體供給部之縱剖面圖。
〔圖6〕表示前述氣體供給部之配置狀態之噴頭內部的平面圖。
〔圖7〕表示前述成膜裝置之作用的第1說明圖。
〔圖8〕表示前述成膜裝置之作用的第2說明圖。
〔圖9〕使用於參考例之成膜裝置之一部分放大縱剖面圖。
〔圖10〕表示實施例及參考例之膜之比電阻值的說明圖。
〔圖11〕表示實施例及參考例之膜之膜厚的說明圖。
〔圖12〕表示改變載置台溫度及置換氣體之通流時間時之膜厚變化的說明圖。
〔圖13〕表示相對於改變載置台之設定溫度之噴頭之溫度之經時變化的說明圖。
〔圖14〕表示處理複數片晶圓時之膜之比電阻之面間變化的說明圖。
〔圖15〕表示實施例及參考例之噴頭內之氣體之擴散狀態的說明圖。
參閱圖1~圖6,說明本發明之實施形態之成膜裝置的構成。本成膜裝置,係構成為如下述裝置,該裝置,係對成膜對象為圓形基板(圓板),例如直徑為300mm之晶圓W的表面,交互地供給作為相互反應之反應氣體的氯化鈦(TiCl4)氣體(原料氣體)與氨(NH3)氣體(氮化氣體),並藉由ALD法形成氮化鈦(TiN)膜。
如圖1、圖2所示,成膜裝置,係藉由鋁等的金屬所構成,而平面形狀大致為圓形的真空容器,且具備有:處理容器1,構成處理室;載置台(載置部)2,設置於該處理容器1內,載置有晶圓W;氣體噴頭5,設置為與載置台2相對向,且在與載置台2之間形成處理空間313,而對該處理空間313供給氣體。在處理容器1的側面,係設置有:搬入搬出口11,用以在與載置台2之間進行晶圓W的收授時,使設置於外部之真空搬送路徑的晶圓搬送機構進入處理容器1內;及閘閥12,將該搬入搬出口11進行開關。
在比前述搬入搬出口11更往上部側的位置, 排氣導管13(該排氣導管13,係由鋁等的金屬所構成,使縱剖面形狀為方形之導管彎曲成圓環狀而構成),係設置為層疊於構成處理容器1之本體的側壁上。在排氣導管13的內周面,係形成有沿著圓周方向而延伸的狹縫狀開口部131,從處理空間313所流出之氣體,係經由該開口部131被排氣至排氣導管13內。在排氣導管13的外壁面,係形成有排氣口132,在該排氣口132,係連接有由真空泵等所構成的排氣部65。排氣口132或排氣部65,係相當於對處理空間313內進行真空排氣的排氣部。
在處理容器1內,係在前述排氣導管13之內側的位置配置有載置台2。載置台2,係藉由比晶圓W大的圓板所構成,且藉由例如氮化鋁(AlN)、石英玻璃(SiO2)等之陶瓷或鋁(Al)、赫史特合金(註冊商標)等的金屬所構成。在載置台2的內部,係埋設有用以將晶圓W加熱至例如350℃~550℃之成膜溫度的加熱器21。又,因應所需,亦可設置未圖示之靜電夾盤,該靜電夾盤,係用以將晶圓W固定於該載置台2之上面側的載置區域內。另外,在圖1以外的縱剖面圖中,係省略加熱器21之記載。
在該載置台2,係設置有蓋構件22,該蓋構件22,係設置為涵蓋圓周方向而覆蓋前述載置區域之外周側的區域及載置台2的側周面。蓋構件22,係由例如氧化鋁等所構成,且形成為上下端各自呈開口的概略圓筒形狀,並且其上端部係朝向內側而涵蓋圓周方向沿水平方 向彎曲。該彎曲部,係在載置台2的周緣部被卡止,該彎曲部之厚度尺寸,係形成為比晶圓W之厚度尺寸(0.8mm)更厚,例如為1mm~5mm之範圍內的3mm。
在載置台2之下面側中央部,係連接有貫穿處理容器1之底面而延伸於上下方向的支撐構件23。該支撐構件23的下端部,係經由板狀之支撐台232(該支撐台,係水平地配置於處理容器1的下方側),而連接於升降機構24。升降機構24,係在收授位置(在圖1中以一點鏈線所記載)與處理位置之間,使載置台2升降,該收授位置,係在與從搬入搬出口11進入之晶圓搬送機構之間進行晶圓W之收授,該處理位置,係位於該收授位置的上方側,用以對晶圓W進行成膜。
在該支撐構件23貫穿之處理容器1的底面與支撐台232之間,係以將前述支撐構件23從圓周方向的外側覆蓋的方式,設置有伸縮管231,該伸縮管231,係將處理容器1內的環境與外部區隔,且可隨著支撐台232的升降動作而伸縮。
在載置台2的下方側,係設置有例如3根支撐銷25,用以在與外部之晶圓搬送機構間進行晶圓W之收授時,從下面側支撐並抬起晶圓W。支撐銷25,係與升降機構26連接而可升降自如,經由於上下方向貫穿載置台2的貫穿孔201,從載置台2的上面使支撐銷25突出/沒入,藉由此,在與晶圓搬送機構之間進行晶圓W之收授。
在排氣導管13的上面側,係以封閉圓形開口的方式設置有圓板狀的支撐板32,在該些排氣導管13與支撐板32之間,係設置有用以將處理容器1內保持氣密的O形環133。在支撐板32的下面側,係設置有用以對處理空間313供給反應氣體或置換氣體的頂板構件31,頂板構件31,係藉由螺栓323來支撐固定於支撐板32。前述頂板構件31或支撐板32,係構成本成膜裝置的天井部。
如圖2所示,在例如支撐板32的上面側,係設置有加熱器324,該加熱器,係設置為用以進行頂板構件31或後述之噴頭5的溫度調整,且連接於供電部325。加熱器324,係相當於溫度調整部,該溫度調整部,係經由支撐板32或頂板構件31而用以調整後述之噴頭5的溫度。另外,在圖2以外的圖中,係省略加熱器324或供電部325之記載。
在頂板構件31的下面側,係形成有凹部,該凹部之中央側的區域,係形成為平坦的狀態。又,在該平坦之中央區域的外周側,係形成有突出於下方側的平坦面。
另一方面,在頂板構件31之下方位置,係以將該頂板構件31之下面全體覆蓋的方式,設置有噴頭5。噴頭5,係具備有:例如金屬製的圓板部分,其係具備有與載置台2相對向的平坦面;及環狀突起部,形成於該圓板之周緣部,且突出於下方側,並且在其上面側,係形成有凹 部。在噴頭5之該凹部的外周側,係形成有突出於上方側的平坦面。
頂板構件31與噴頭5,係使兩構件31、5之下面及形成於上面的平坦面彼此抵接而緊固,且使形成於該些抵接面之內側的凹部彼此相對向,藉由此,構成有用以使氣體擴散的擴散空間50。在擴散空間50之底部,係涵蓋其全面而穿設有多數個氣體供給口511,且可朝向晶圓W供給反應氣體。以下,在噴頭5中,將擴散空間50底部中形成有氣體供給口511的區域稱為氣體供給區域51,將未形成有氣體供給口511之擴散空間50之外方側的區域稱為周緣區域52。另外,在圖3中,係以長虛線來表示氣體供給區域51與周緣區域52的邊界。
本例之噴頭5,係擴散空間50之直徑(亦即,氣體供給區域51之直徑)為255mm(半徑127.5mm),擴散空間50之高度尺寸為8mm,不包含後述之氣體供給部4之體積之噴頭5內的容積為385cm3
相對於直徑300mm(半徑150mm)的晶圓W,將擴散空間50之直徑為255mm(半徑127.5mm)的噴頭5配置於載置台2上之晶圓W中央部的上方位置時,擴散空間50之外緣會形成為位於比晶圓W之外緣更往內側的位置。如此一來,藉由使用從下面側觀看之擴散空間50之面積小於晶圓W之面積的噴頭5,可在短時間內進行置換氣體之反應氣體的置換。
圖6,係表示在去掉氣體供給區域51部分之 狀態中,從下面側觀看噴頭5的平面圖。如該圖所示,在擴散空間50之平面形狀形成為圓形時,將擴散空間50之半徑設成為r、將晶圓W之半徑設成為R時,則r/R之值為4/15~9/10的範圍內為較佳,又,擴散空間50內之高度為3~10mm,擴散空間50之內部容積為150~400cm3的範圍內為最佳。藉由以例如2~8.8L/分之流量,將前述容積之5~22倍量之置換氣體供給至該擴散空間50內的方式,可在0.1~0.5秒左右結束置換操作。
在此,不須在擴散空間50之下面全體設置氣體供給口511,在噴頭5內之氣體的置換時間或形成於晶圓W之膜的均勻性滿足目標的範圍內,亦可設成為僅在例如擴散空間50之下面的中央側區域設置氣體供給口511之構成。又,亦可藉由將在噴頭5之下面側的構件內,朝向橫方向、外側而延伸之流路的末端設成為氣體供給口511的方式,使氣體供給口511在比擴散空間50更往外方側形成開口。另外,在圖3中,為了方便起見,僅圖示設置於氣體供給區域51之氣體供給口511的一部份。
從載置台2上之晶圓W的上面至氣體供給區域51之氣體供給口511的高度t,係設定為10~50mm左右,更佳的係15~20mm左右。當該高度大於50mm時,則氣體的置換效率會下降,另一方面,當小於10mm時,會使得設置有氣體供給部4或噴頭5的空間變得不足,或處理空間313內的氣體變得難以流動。又,如前述,由於 噴頭5之下面,係形成為平坦的狀態,因此,噴頭5,係配置於從被載置於載置台2之晶圓W之上面側僅離開等距離的位置。
如圖3所示,在形成有氣體供給口511之氣體供給區域51的周圍,係設置有圓環狀的周緣區域52(該周緣區域52,係未形成有氣體供給口511)。該些氣體供給區域51及周緣區域52,係藉由共用的構件所一體構成。而且,在噴頭5之周緣部側,係沿著噴頭5之圓周方向,形成有突出於下方側的環狀突起部53。
使載置台2上升至處理位置時,環狀突起部53之下端,係被配置為與設置於載置台2之蓋構件22的上面相對向。藉由噴頭5之下面及環狀突起部53與載置台2之上面所包圍的空間,係形成為對晶圓W進行成膜的處理空間313。
又,如圖2所示,在環狀突起部53的下端與蓋構件22之彎曲部的上面之間,係以形成有高度h之間隙的方式,來設定處理位置的高度位置。前述排氣導管13的開口部131,係朝向該間隙形成開口。環狀突起部53之下端與蓋構件22之間隙的高度h,係設定為例如0.2~10.0mm之範圍的3.0mm。
如圖1、圖2所示,在擴散空間50內之噴頭5的上面側,係以朝向上方側突出的方式,設置有形成為圓柱形狀的複數個傳熱構件54。各傳熱構件54,係形成有於上下方向貫通傳熱構件54的螺絲孔。又,在頂板構 件31側中,亦在對應於各傳熱構件54的位置形成有螺絲孔,且藉由使螺絲541螺合於各螺絲孔的方式,噴頭5會緊固於頂板構件31。
在將噴頭5緊固於頂板構件31的狀態下,各傳熱構件54之上端,係接觸於頂板構件31之底面。該結果,從前述之加熱器324所供給且經由支撐板32或頂板構件31而進行傳熱的熱,將變得易通過傳熱構件54或螺絲541,而傳遞至噴頭5的下面。在該觀點中,各傳熱構件54,係相當於傳熱構件(傳熱構件,係為了促進噴頭5與頂板構件31、支撐板32之間的傳熱,而連接該些構件5、31間)。
如圖3、圖6所示,在本例之噴頭5中,傳熱構件54,係以2層環狀地包圍擴散空間50之中心,且等間隔地配置於各環之圓周方向。在中央部側的環上設置有4個,在外周側的環上設置有8個,總計共設置有12個傳熱構件54。另外,設置於噴頭5之傳熱構件54的個數或配置位置並不限於該例,亦可因應噴頭5之溫度調整所需,而適當地進行變更。
而且,如圖3、圖6所示,在擴散空間50內,係在凹部之中央部配置有1個,以等間隔圓環狀地包圍該中央部的方式配置有8個,總計共配置有9個氣體供給部4。從8個氣體供給部4所構成之圓環的直徑,係較佳範圍為150mm以下,在本例中為100mm。又,從擴散空間50之內側壁面至該圓環的距離d,係設定為 50~100mm之範圍內的值。在此,設置於擴散空間50內之氣體供給部4的個數,係不限於9個的情形。只要例如至少2個、較佳的係3個以上之氣體供給部4被設置於包圍噴頭5中心之環上相互分離的位置,即可在短時間內均勻地將氣體供給至噴頭5內。另外,設置有複數個氣體供給部4之環的形狀,係不限於圓環,亦可配置於例如四方形之環上。
如圖4、圖5所示,各氣體供給部4,係具備有:台座部43,緊固於頂板構件31;及內部為中空的頭部41,設置於該台座部43的下面側。在頂板構件31之下面,係形成有被插入有前述台座部43的凹部,在使台座部43嵌合於該凹部內時,成為頭部41從頂板構件31之下面突出於擴散空間50內的狀態。
在台座部43,係形成有螺絲孔431,而藉由使螺絲435螺合於該螺絲孔431及形成於頂板構件31側之前述凹部內之螺絲孔的方式,台座部43會緊固於頂板構件31。
在此,當反應氣體侵入至台座部43與頂板構件31之間而形成膜,且該些構件43、31固接時,則成為在拆卸氣體供給部4時等產生微粒的原因。因此,本例之台座部43,係形成為可抑制產生像這樣之微粒的構成。
如圖5所示,台座部43,係形成為比頂板構件31側的凹部小,在台座部43之外周面與頂板構件31側之凹部的內周面之間,係例如形成有0.1~1mm左右的 間隙314。又,在台座部43之螺絲孔431的上端部,係突出有朝向上部側突出之扁平圓環狀的突部432。台座部43,係經由該突部432之上面側的接觸面而接觸於頂板構件31,在台座部43的上面與頂板構件31側之凹部的下面之間,亦形成有與側面側相同程度的間隙314。
而且,在台座部43,係形成有氣體路徑434,該氣體路徑,係以於上下方向貫通孔台座部43的方式,與形成於頂板構件31之後述的氣體供給路徑312連通。在氣體路徑434之上端側之開口部的周圍,係設置有O形環433,該O形環433,係作為氣密地連接氣體供給路徑312與氣體路徑434的密合構件。
該結果,接觸於頂板構件31的部分,係限定於前述突部432之上面側的接觸面及O形環433,其他部分,係在台座部43與頂板構件31之間,形成比較大的間隙314。因此,即使反應氣體及清洗氣體進入台座部43與頂板構件31而形成膜,台座部43與頂板構件31亦難以固接,而可抑制在拆卸氣體供給部4時之微粒的產生。
又,接觸於頂板構件31的部分,係限定於突部432之上面側的接觸面及O形環433,該些接觸部分,係設置於反應氣體從進入位置遠離之台座部43的上面側。因此,反應氣體難以進入突部432的接觸面或O形環433與頂板構件31之間,即使進入亦由於其面積小,故可抑制拆卸氣體供給部4等時之微粒的產生。
頭部41,係設置為從台座部43之下面側將氣 體路徑434之下端側的開口部覆蓋,例如直徑為8~20mm之範圍內之例如20mm之扁平圓筒形狀的蓋體。在頭部41之側面,係形成有沿著圓周方向且隔著間隔而設置的複數個氣體吐出口42。對於各頭部41,氣體吐出口42,係設置例如3個以上為較佳,在本例中,係設置12個。又,由於頭部41之下面會被封閉且未設置有氣體吐出口42,因此,流入頭部41內的氣體,係以從各氣體吐出口42朝向橫方向均勻擴散的方式予以吐出。
如上述,氣體供給部4,係構成為可均勻地將氣體朝向圓周方向擴散,從該些氣體供給部4之氣體吐出口42所吐出的氣體充分擴散於噴頭5內後,經由氣體供給口511,對處理空間313供給氣體,藉由此,氣體會均勻地被供給至載置台2上之晶圓W的表面。
又,藉由設置成可調整氣體供給部4的安裝角度之構成的方式,可調整氣體的噴出方向。伴隨此,可調整氣體之流動,且使晶圓之膜厚分布成為所期望者。
在設置有氣體供給部4的頂板構件31,係如圖1、圖2所示,形成有用以將氣體供給至各氣體供給部4的氣體供給路徑312。該些氣體供給路徑312,係連接於氣體之擴散部311,該擴散部,係形成於頂板構件31的上面與支撐板32的下面之間。
在支撐板32,係形成有:氨供給路徑321,用以將氨氣及置換用之氮氣供給至前述擴散部311;及氯化鈦供給路徑322,用以將氯化鈦氣體及置換用之氮氣供 給至相同的擴散部311。氨供給路徑321及氯化鈦供給路徑322,係經由配管而連接於氨氣供給部62、氯化鈦氣體供給部64,該些配管,係各別在中途分歧而連接於氮氣供給部61、63。在各配管,係設置有進行氣體之供給/切斷的開關閥602與進行氣體供給量之調整的流量調整部601。另外,為了便於圖示,在圖1中雖各別表示氮氣供給部61、63,但該些亦可使用共用的氮氣供給源。
具備有以上所說明之構成的成膜裝置,係如圖1、圖2所示,與控制部7連接。控制部7,係由具備有未圖示之CPU與記憶部的電腦所構成,在記憶部,係記錄有程式,該程式,係編有關於成膜裝置之作用,亦即使載置於載置台2上的晶圓W上升至處理位置,且以事先決定的順序來將反應氣體及置換用氣體供給至處理空間313內,而執行TiN之成膜,直至搬出進行成膜後之晶圓W之控制的步驟(命令)群。該程式,係儲存於例如硬碟、光碟、磁光碟、記憶卡等之記憶媒體,且由該些被安裝於電腦。
接下來,參閱圖7、圖8,說明本成膜裝置的作用。一開始,在事先將處理容器1內減壓至真空環境之後,使載置台2下降至收授位置。而且,打開閘閥12,使設置於與搬入搬出口11連接之真空搬送室之晶圓搬送機構的搬送臂進入,從而在與支撐銷25之間進行晶圓W之收授。然後,使支撐銷25下降,將晶圓W載置於藉由加熱器21而加熱至前述之成膜溫度的載置台2上。
接下來,在關閉閘閥12,使載置台2上升至處理位置,並且進行處理容器1內的壓力調整之後,藉由氯化鈦氣體供給部64來供給氯化鈦氣體(圖7)。所供給的氯化鈦氣體,係經由氯化鈦供給路徑322→擴散部311→氣體供給路徑312,而流入至各氣體供給部4。
流入至氣體供給部4內的氯化鈦氣體,係經由氣體吐出口42而流入至噴頭5的擴散空間50內,而且經由形成於氣體供給區域51的氣體供給口511,被供給至處理空間313內。
從氣體供給口511所供給的氯化鈦氣體,係在處理空間313內下降而到達載置台2上的晶圓W,其一部份會吸附於晶圓W。剩餘之氯化鈦氣體,係一部份會吸附於晶圓W之表面,並同時沿著晶圓W之表面,以放射狀的方式往徑方向擴散。
在處理空間313內流動而到達環狀突起部53的下端與蓋構件22之間之間隙的氯化鈦氣體,係在從該間隙流出至處理容器1內之後,經由排氣導管13朝外部排出。
在上述的流動中,藉由在噴頭5之周緣部設置有環狀突起部53,且適當地設定與載置台2(蓋構件22)之間的間隙之高度的方式,予以調整氣體從處理空間313朝向周圍之排氣導管13側流動時的壓力損失。該結果,在使各反應氣體滯留於處理空間313持續一段足以吸附於晶圓W的時間之後,可使反應氣體均等地朝向形成有該間隙之 圓周方向外側排出。
接下來,停止氯化鈦氣體之供給,並且從氮氣供給部63供給作為置換用氣體之氮氣(圖7)。氮氣,係通過與氯化鈦氣體相同之路徑被供給至處理空間313內,該路徑及處理空間313內的氯化鈦氣體,係被置換為氮氣。
如此一來,在以預定時間進行氮氣之供給而進行氣體之置換後,停止氮氣之供給,並從氨氣供給部62供給氨氣(圖8)。所供給之氨氣,係經由氨供給路徑321→擴散部311→氣體供給路徑312,流入至各氣體供給部4。而且,從氣體供給部4吐出至噴頭5內的氨氣,係形成與氯化鈦之情形相同的流動,而被供給至處理空間313內。
當在處理空間313內流動之氨氣到達晶圓W的表面時,則將先吸附於晶圓W之氯化鈦氣體的成份氮化而形成氮化鈦。然後,將被供給至氣體供給路徑312之氣體切換成來自氮氣供給部61的置換用之氮氣,從而將氨氣之供給路徑及處理空間313內的氨氣置換為氮氣(圖8)。
如此一來,以氯化鈦氣體→氮氣→氨氣→氮氣的順序來供給反應氣體(氯化鈦氣體、氨氣)與置換用氣體(氮氣),藉由此,在晶圓W之表面層疊有氮化鈦(TiN)之分子層,而形成氮化鈦的膜。
說明在供給該些反應氣體或置換用氣體時之 氣體供給部4及噴頭5的作用。首先,從氣體供給路徑312供給至氣體供給部4的氣體,係以從沿著頭部41之圓周方向且隔著間隔而設置之複數個氣體吐出口42擴散於橫方向的方式,被吐出至噴頭5內的空間。從氣體吐出口42所吐出的氣體,係在噴頭5內朝向下方側改變流向,而到達擴散空間50。到達擴散空間50後的氣體,係經由各氣體供給口511,被均勻地供給至處理空間313內(圖6~圖8)。
由於從氣體供給部4所吐出之氣體的流速會在噴頭5的內部大幅下降,又,經由多數個氣體供給口511,氣體會被分散而供給至處理空間313,因此,在反應氣體(氯化鈦氣體、氨氣)的情況下,從各氣體供給口511所吐出之氣體的流速會變小。該結果,到達晶圓W之表面時之反應氣體的流速會下降,而膜厚之面內均勻性會提升。
另一方面,在供給置換用氣體(氮氣)時,藉由使用從下面側觀看,擴散空間50的面積小於晶圓W之面積且小型的噴頭5,由於噴頭5內的容積小,故置換氣體之操作所需的時間短。
又,使用於ALD之反應氣體,係具有分別不同的流動性。例如氯化鈦,係具有亦在狹窄的流路易擴散之特徵,另一方面,氨氣,係相較於氯化鈦更難以擴散。此時,藉由使與載置台2上之晶圓W相對向之噴頭5的下面形成為平坦之狀態,且晶圓W的上面與噴頭5的下 面之間的距離成為固定的方式,反應氣體,係可不受限於其流動性之不同,而均勻地在高度尺寸均一之處理空間313內擴散。其結果,可使成膜於晶圓W之膜之厚度的面內均勻性提升。
而且,噴頭5之下面,係不僅藉由設置於支撐板32之上面側的加熱器324來予以加熱,且藉由來自晶圓W側(該晶圓側,係藉由設置於載置台2的加熱器21來予以加熱)的輻射讓溫度上升。因此,晶圓W表面之反應氣體彼此的反應,係不僅藉由加熱器21進行加熱,且亦受到噴頭5之溫度狀態的影響。
此時,如前述,藉由使晶圓W的上面與噴頭5的下面之間的距離成為一定的方式,晶圓W從噴頭5側受到之熱的影響會在面內變得均勻,而發揮出使膜之特性(例如,後述之比電阻)在晶圓W之面內成為均勻的效果。而且,如前述,藉由在噴頭5之擴散空間50內分散配置有用以促進傳熱之傳熱構件54的方式,由於噴頭5之下面的溫度分布本身亦變得更均勻,因此,在該觀點中,亦可使賦予至晶圓W之熱的影響在面內均勻化。
像這樣在將氯化鈦氣體之供給與氨氣之供給重覆進行例如數十次~數百次,而形成所期望之膜厚之氮化鈦的膜後,在供給置換用之氮氣而排出最後的氨氣之後,使載置台2下降至收授位置。而且,在打開閘閥12並使搬送臂進入,以與搬入時相反的順序,從支撐銷25將晶圓W收授至搬送臂,而使成膜後的晶圓W搬出之 後,等待接下來的晶圓W之搬入。
根據本實施形態之成膜裝置,具有以下的效果。使用具有面積小於作為成膜對象之晶圓W之擴散空間50的噴頭5,在該噴頭5之內側設置複數個氣體供給部4,因此,可在短時間內進行反應氣體與置換用氣體之置換。又,在各氣體供給部4,係以將氣體擴散於各個橫方向的方式,沿著圓周方向而形成有氣體吐出口42,由於反應氣體,係在改變流動方向後,穿過設置於噴頭4之下面的氣體供給口511,因此,可從噴頭全面均勻地供給反應氣體,從而使成膜於晶圓W之膜厚的面內均勻性提升。
在此,噴頭5內之氣體供給部4的配置,係如圖5所示,不限定於在中央之氣體供給部4的周圍,圓環狀地配置氣體供給部4的例子。例如,亦可棋盤格狀地配置氣體供給部4。
又,亦可藉由設成為從噴頭5之下面使各氣體供給部4之下端部貫穿,而藉由該些氣體供給部4來支撐噴頭5之構造的方式,進一步縮小擴散空間50之高度尺寸,從而使反應氣體之置換性提升。
另外,設置於氣體供給部4之頭部41之氣體吐出口42的構成,係不限於圖4所例示者。亦可形成延伸於例如頭部41之側面之圓周方向的1條縫隙,或亦可設成為以網目狀的構件來將該縫隙覆蓋的構成。而且,在氣體供給部4設置頭部41亦並非為必要的要件。亦可以 一邊從例如氣體供給路徑312所吐出之氣體形成旋轉流,一邊吐出至噴頭5內的方式,藉由螺旋狀的流路等來形成氣體供給路徑312。在該情況下,一邊形成旋轉流而一邊吐出的氣體,係在噴頭5內朝橫方向擴散,而流速下降之後,從氣體供給口511、512均勻地被供給至處理空間313。
而且,在本發明之成膜裝置,係除了前述之TiN膜之成膜,另亦可形成包含金屬元素,例如作為周期表之第3周期元素的Al、Si等、作為周期表之第4周期元素的Ti、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ge等、作為周期表之第5周期元素的Zr、Mo、Ru、Rh、Pd、Ag等、作為周期表之第6周期元素的Ba、Hf、Ta、W、Re、lr、Pt等之元素的膜。作為吸附於晶圓W表面的金屬原料,係可列舉出將該些金屬元素之有機金屬化合物或無機金屬化合物等使用來作為反應氣體(原料氣體)的情形。作為金屬原料的具體例,係除了上述的TiCl4之外,另列舉出BTBAS((雙三級丁胺)矽烷)、DCS(二氯矽烷)、HCD(六氯二矽烷)、TMA(三甲基鋁)、3DMAS(三(二甲胺基)矽烷)等。
又,在使吸附於晶圓W表面之原料氣體反應而獲得所期望之膜的反應中,係亦可利用如下述等的各種反應,其包括:利用例如O2、O3、H2O等之氧化反應;利用H2、HCOOH、CH3COOH等之有機酸、CH3OH、C2H5OH等之醇類等之還原反應;利用CH4、C2H6、 C2H4、C2H2等之碳化反應;及利用NH3、NH2NH2、N2等之氮化反應。
而且,作為反應氣體,亦可使用3種類之反應氣體或4種類之反應氣體。作為使用例如3種類之反應氣體時的例子,係有形成鈦酸鍶(SrTiO3)膜的情形,例如使用作為Sr原料之Sr(THD)2(雙(四甲基庚二酮)鍶)、作為Ti原料之Ti(OiPr)2(THD)2(二異丙醇-雙(四甲基二酮)鈦)及作為該些氧化氣體之臭氧氣體。在該情況下,係以Sr原料氣體→置換用氣體→氧化氣體→置換用氣體→Ti原料氣體→置換用氣體→氧化氣體→置換用氣體的順序來切換氣體。又,雖說明了作為進行成膜處理之基板的圓形晶圓W,但亦可將本發明應用於例如矩形的玻璃基板(LCD用基板)。
〔實施例〕
(實驗1)
調查使用不同之成膜裝置,將氯化鈦氣體與氨氣交互地供給至晶圓W,而藉由ALD法所成膜之TiN膜的特性。
A.實驗條件
(實施例1-1)
藉由使用圖1~圖6所說明之構成的成膜裝置,來重複182次以氯化鈦氣體→氮氣→氨氣→氮氣的順序來供給 反應氣體及置換用氣體的循環,從而測定成膜後之TiN膜之比電阻(薄片電阻)及膜厚。
晶圓W之加熱溫度,係設定為440℃。
(參考例1-1)
使用圖9所示之成膜裝置,且以與實施例1-1相同的條件來形成TiN膜。說明關於圖9之成膜裝置時,在頂板構件31a(該頂板構件,係形成有從中央側朝向外周側逐漸擴展之形狀的傾斜面310)的中央部,設置直徑為200mm的噴頭5a,且在其內側,與圖6所示的例子相同地配置具備有8個氣體吐出口42的氣體供給部4a。中央部之氣體供給部4a的直徑,係15mm;配置成圓環狀之氣體供給部4a的直徑,係10mm。又,由8個氣體供給部4a所構成之圓環的直徑,係100mm,且從噴頭5a經由除了形成於底面側的氣體供給口511以外,再加上形成於側面的氣體供給口521,將氣體供給至處理空間313內。另外,在圖9中,在與圖1~圖6所示之成膜裝置共用的構成要素中,係賦與和該些圖相同的符號。
B.實驗結果
在圖10中,表示晶圓W面內之被正規化後之比電阻的分布,在圖11中,表示被正規化後之膜厚的分布。各圖之橫軸,係表示晶圓W之徑方向的位置,縱軸,係表示將各位置之比電阻或膜厚之測定結果正規化後的值。在各圖中,實線,係表示實施例1-1之結果,虛線,係表示 參考例1-1之結果。正規化資料,係藉由從比電阻及膜厚之各值的測定結果,減去晶圓W面內之該些值之平均值的方式而求出。
如圖10所示,比較成膜於晶圓W之TiN膜的比電阻,已知:相較於參考例1-1,實施例1-1,係晶圓W之中央側的高比電阻區域及周緣部側之低比電阻區域會被平均化,而進一步使面內均勻性提升。又,以由「(標準偏差σ)/(平均值Ave)×100」所表示之均一性的值進行比較,參考例1-1為5.3[%],相對於此,實施例1-1為2.6[%],而有意地使面內均勻性提升。
又,根據比較圖11之膜厚,相較於參考例1-1,實施例1-1,係晶圓W之中央部周圍之膜厚為厚的區域及更外周側之膜厚為薄的區域會被平均化,在膜厚之觀點中,亦使面內均勻性提升。又,以上述之均一性的值進行比較,參考例1-1為1.2[%],相對於此,實施例1-1為1.6[%],作為面內均勻性之值,雖係在2%以下為同等,但在面內中膜厚之增減少,而獲得難以對元件特性造成影響的評估結果。
相較於圖9所示之參考例之成膜裝置,藉由使用實施例之成膜裝置的方式,使比電阻或膜厚之面內均勻性提升的理由,吾人認為可能是因使用具有平坦下面之頂板構件31時,係比使用具備有傾斜面310之頂板構件31a時,更可改善反應氣體之流動狀態或晶圓W之加熱狀態的均勻性之結果。
(實驗2)
比較(實驗1)之實施例、參考例之成膜裝置之噴頭5、5a的置換性。
A.實驗條件
在前述之實施例1-1中,供給至氯化鈦氣體與氨氣之間的置換用之氮氣的供給時間,係0.5秒。又,在前述之參考例1-1中,係供給與實施例1-1相同流量的氮氣0.3秒。
(比較例2-1)除了將氮氣之供給時間設成為0.3秒之外,係以與實施例1-1相同的條件進行成膜。
B.實驗結果
在圖12中,表示在實施例1-1、參考例1-1及比較例2-1所成膜之TiN膜之膜厚的分布。橫軸,係表示晶圓W之徑方向的位置;縱軸,係表示各位置之膜厚。在圖12中,實施例1-1,係以實線來表示;參考例1-1,係以虛線來表示;比較例2-1,係以一點鏈線來表示。
根據圖12所示之比較例2-1的結果,當將氮氣之供給時間縮短至與參考例1-1相同的0.3秒時,則形成於晶圓W之TiN膜的膜厚會急遽增大。這是因為相較於圖9之噴頭5a,在擴散空間50之容積大的噴頭5(圖2)中,當氮氣之供給時間較短時,反應氣體之置換不充分,而導致在處理空間313內進行CVD(Chemical Vapor Deposition)反應的緣故。
另一方面,經確認:如實施例1-1所示,若將氮氣之供給時間延長至0.5秒,即能夠實現可形成具有與參考例1-1相同程度之平均膜厚之TiN膜的ALD反應。雖然藉由將氮氣之供給時間延長至0.5秒,而在1片晶圓W上進行成膜所需的時間會變長,但評估在裝置之運作方面沒有問題,且具有足夠實用的時間。
(實驗3)
調查使用圖1~圖6之成膜裝置,來處理多數片晶圓W時之熱歷程的影響。
A.實驗條件
(預備實驗3-1)調查使載置有晶圓W之載置台2側之加熱器21的溫度改變時之噴頭5之下面的溫度變化。頂板構件31側之加熱器324之溫度,係固定為175℃。
(實施例3-1)調查以與實施例1-1相同的條件來處理1000片晶圓W時之面間之比電阻的變化。
B.實驗結果
在圖13中,表示預備實驗3-1之結果。圖13之橫軸,係表示時間;縱軸,係表示測定噴頭5下面之複數個部位之溫度的結果中溫度成為最高之部位的溫度。又,在圖13中,係一併表示加熱器21之設定溫度與其設定期間。
根據圖13所示之結果,即使為使載置台2側之加熱器21的設定溫度上升至550℃時,亦可將噴頭5之下面的溫度抑制為觀察到TiN膜之異常值的200℃以下。
在圖14,係表示以與實施例1-1相同的條件來處理1000片晶圓W時之TiN膜之比電阻的變化。圖14之橫軸,係表示晶圓W之處理片數;左側之縱軸,係表示面內之比電阻的平均值;右側之縱軸,係表示比電阻之1 σ %值。在該圖中,比電阻之平均值,係以實心圓進行繪圖,1 σ %值,係以空心圓進行繪圖。
根據圖14之結果可觀察到,處理片數增加之同時,比電阻之平均值慢慢地增加之傾向。吾人認為此為隨著晶圓W之處理片數增加,噴頭5之溫度藉由熱歷程而上升,且進行成膜的速度上升之結果。另一方面,表示面內均勻性之1 σ %值,係以大致固定的值進行推移。這可謂表示藉由使用下面平坦之噴頭5的方式,無論晶圓W之處理片數增加與否(噴頭5之溫度上升),而可在各晶圓W之面內穩定地執行均勻的成膜處理。
(模擬4)
模擬氣體供給部4、4a之不同直徑對氣體之擴散狀態造成的影響。
A.模擬條件
(實施例4-1)模擬在圖1、圖2所示的噴頭5中,擴散空間50內之氣體的擴散狀態。氣體供給部4之直 徑,係設成為19mm。
(參考例4-1)模擬在圖9所示的噴頭5a中,噴頭5a內之氣體的擴散狀態。包圍中心部之氣體供給部4a的直徑,係設成為8mm。
在圖15(a)中,表示實施例4-1之模擬結果;在圖15(b)中,表示參考例4-1之模擬結果。圖中之虛線的箭頭,係表示在噴頭5(擴散空間50)內,從各氣體供給部4、4a所吐出之氣體到達後的位置。
根據圖15(a)、(b)所示之模擬結果可知,直徑較大之氣體供給部4者,係可由噴頭5內使氣體均勻地分散。又,根據模擬氣體供給部4、4a附近之氣體之流動的其他模擬結果可知,直徑較大之氣體供給部4者,係具備有下述能力:從氣體供給部4所供給之氣體朝橫方向流動的向量大,且均勻地將氣體供給至擴散空間50內之更寬廣的區域。吾人認為:如此一來,可使氣體均勻地擴散於噴頭5(擴散空間50)內,其結果,亦可將反應氣體均勻地供給至成膜對象為晶圓W的表面,而形成具有面內均勻性高之膜厚的TiN膜。
2‧‧‧載置台
4‧‧‧氣體供給部
5‧‧‧噴頭
7‧‧‧控制部
22‧‧‧蓋構件
42‧‧‧氣體吐出口
50‧‧‧擴散空間
51‧‧‧氣體供給口
52‧‧‧周緣區域
53‧‧‧環狀突起部
54‧‧‧傳熱構件
311‧‧‧擴散部
312‧‧‧氣體供給路徑
313‧‧‧處理空間
321‧‧‧氨供給路徑
322‧‧‧氯化鈦供給路徑
323‧‧‧螺栓
325‧‧‧供電部
511‧‧‧氣體供給口
541‧‧‧螺絲
W‧‧‧晶圓

Claims (7)

  1. 一種成膜裝置,係藉由ALD法(Atomic Layer Deposition;原子層沈積法)進行成膜處理,該ALD法,係對作為真空環境之處理室內的基板依序供給相互反應之複數個種類的反應氣體,在供給一反應氣體與供給接下來的反應氣體之間,供給置換用氣體,該成膜裝置,其特徵係,具備有:載置部,設置於前述處理室內,且載置有基板;噴頭,具備有與前述載置部相對向的平坦面,且形成有複數個氣體供給口;環狀突起部,配置為以包圍形成有前述複數個氣體供給口的區域而朝下方側突出的方式,設置於前述噴頭,在前述載置部之載置有基板之區域的外方側,在與該載置部的上面之間形成間隙;複數個氣體供給部,設置於天井部(該天井部,係形成於前述噴頭的上方側),在噴頭與天井部之間所包圍的擴散空間,以將氣體擴散於各個橫方向的方式,沿著圓周方向而形成有氣體吐出口;及排氣部,對前述處理室內進行真空排氣;前述擴散空間的外緣,係位於比載置於前述載置部之基板的外緣更往內側,形成於前述氣體供給部之氣體吐出口,係以平面觀看前述噴頭時,設置於形成朝向該噴頭之中央部側與周緣部側而擴展之氣體流向的位置。
  2. 如申請專利範圍第1項之成膜裝置,其中,前述氣體供給部,係在包圍前述噴頭之中心的環上之相互分離的位置,設置有3個以上。
  3. 如申請專利範圍第1項之成膜裝置,其中,前述噴頭與天井部,係藉由互不相同的構件所構成,且具備有:溫度調整部,經由前述天井部而用以調整噴頭之溫度;及傳熱構件,設置於前述擴散空間內,且為了促進前述噴頭與天井部之間的傳熱,而連接該些構件間。
  4. 如申請專利範圍第3項之成膜裝置,其中,前述噴頭,係與包含形成有複數個氣體供給口的區域及其外方側的區域之前述載置台相對向的面為一體構成。
  5. 如申請專利範圍第1項之成膜裝置,其中,前述氣體供給部,係具備有:台座部,對形成於前述天井部側的氣體供給路徑,形成有經由密合構件而連通的氣體路徑,且藉由螺絲固定於該天井部;及頭部,形成從前述氣體路徑流入之氣體用以擴散的空間,且在其側周面形成有前述氣體吐出口,前述台座部,係在前述密合構件與突部(該突部,係設置於前述螺絲用之螺絲孔的上端部,且形成有與前述天井部接觸的接觸面)中,接觸於前述天井部,在其他區域,係在與天井部之間形成有間隙。
  6. 如申請專利範圍第1項之成膜裝置,其中,前述基板,係圓板,以平面觀看前述擴散空間時的形 狀為圓形,在將前述圓板之半徑設成為R,將前述底面部之圓的半徑設成為r時,r/R之值,係在4/15以上、9/10以下的範圍內。
  7. 如申請專利範圍第1項之成膜裝置,其中,前述氣體供給部,係在其側周面形成有前述氣體吐出口,且具備有直徑為8毫米以上、20毫米以下之範圍的圓筒形狀之頭部。
TW104107544A 2014-03-18 2015-03-10 成膜裝置 TWI682055B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014055146A JP6379550B2 (ja) 2014-03-18 2014-03-18 成膜装置
JP2014-055146 2014-03-18

Publications (2)

Publication Number Publication Date
TW201546315A TW201546315A (zh) 2015-12-16
TWI682055B true TWI682055B (zh) 2020-01-11

Family

ID=54141539

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104107544A TWI682055B (zh) 2014-03-18 2015-03-10 成膜裝置

Country Status (4)

Country Link
US (1) US9885114B2 (zh)
JP (1) JP6379550B2 (zh)
KR (1) KR101745074B1 (zh)
TW (1) TWI682055B (zh)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6503730B2 (ja) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 成膜装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7119747B2 (ja) 2018-08-10 2022-08-17 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
DE102019117479A1 (de) * 2019-06-28 2020-12-31 Aixtron Se In einem CVD-Reaktor verwendbares flaches Bauteil
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN114641592A (zh) * 2019-08-28 2022-06-17 朗姆研究公司 金属沉积
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178029A1 (en) 2020-12-03 2022-06-09 Tokyo Electron Limited Deposition apparatus and deposition method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2022189179A (ja) * 2021-06-10 2022-12-22 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP2022189180A (ja) * 2021-06-10 2022-12-22 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI800235B (zh) * 2022-01-24 2023-04-21 矽碁科技股份有限公司 原子層沉積系統
TWI815757B (zh) * 2022-01-24 2023-09-11 矽碁科技股份有限公司 原子層沉積系統

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006654A (ja) * 2002-04-24 2004-01-08 Tokyo Electron Ltd 処理装置及び処理方法
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5324386A (en) * 1991-03-19 1994-06-28 Fujitsu Limited Method of growing group II-IV mixed compound semiconductor and an apparatus used therefor
US5284805A (en) * 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5328585A (en) * 1992-12-11 1994-07-12 Photran Corporation Linear planar-magnetron sputtering apparatus with reciprocating magnet-array
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5486975A (en) * 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
JP2000077337A (ja) 1998-08-31 2000-03-14 Toshiba Corp ガス処理装置およびガス処理方法
JP4260404B2 (ja) 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
KR100676979B1 (ko) 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JPWO2004111297A1 (ja) * 2003-06-10 2006-07-20 東京エレクトロン株式会社 処理ガス供給機構、成膜装置および成膜方法
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP2006299294A (ja) 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP5547366B2 (ja) * 2007-03-29 2014-07-09 東京エレクトロン株式会社 プラズマ処理装置
KR100900318B1 (ko) 2007-06-21 2009-06-02 주식회사 아이피에스 박막증착장치용 샤워헤드 및 박막증착장치 세정방법
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
TWI498988B (zh) 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006654A (ja) * 2002-04-24 2004-01-08 Tokyo Electron Ltd 処理装置及び処理方法
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法

Also Published As

Publication number Publication date
US20150267298A1 (en) 2015-09-24
JP2015175060A (ja) 2015-10-05
KR20150108780A (ko) 2015-09-30
US9885114B2 (en) 2018-02-06
JP6379550B2 (ja) 2018-08-29
KR101745074B1 (ko) 2017-06-08
TW201546315A (zh) 2015-12-16

Similar Documents

Publication Publication Date Title
TWI682055B (zh) 成膜裝置
KR101804597B1 (ko) 성막 장치
TWI612178B (zh) 成膜裝置
TWI683924B (zh) 成膜裝置
JP5929429B2 (ja) 成膜装置
TWI736687B (zh) 處理裝置及蓋構件
JP2014074190A (ja) 成膜装置
KR101932870B1 (ko) 성막 장치 및 성막 방법
TW202117065A (zh) 氣體導入構造、熱處理裝置及氣體供給方法
WO2020241461A1 (ja) ステージ構造体、基板処理装置及びステージ構造体の制御方法
JP6308318B2 (ja) 成膜装置
JP2016156094A (ja) 成膜装置