KR101571180B1 - 위치 및 오프셋을 결정하는 장치 및 방법 - Google Patents

위치 및 오프셋을 결정하는 장치 및 방법 Download PDF

Info

Publication number
KR101571180B1
KR101571180B1 KR1020107015815A KR20107015815A KR101571180B1 KR 101571180 B1 KR101571180 B1 KR 101571180B1 KR 1020107015815 A KR1020107015815 A KR 1020107015815A KR 20107015815 A KR20107015815 A KR 20107015815A KR 101571180 B1 KR101571180 B1 KR 101571180B1
Authority
KR
South Korea
Prior art keywords
data sets
chuck
upper electrode
paths
discontinuities
Prior art date
Application number
KR1020107015815A
Other languages
English (en)
Other versions
KR20100096248A (ko
Inventor
크리스틴 알렌-블란쳇
매트 로드닉
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100096248A publication Critical patent/KR20100096248A/ko
Application granted granted Critical
Publication of KR101571180B1 publication Critical patent/KR101571180B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

적어도, 척 및 상부 전극을 포함하는 플라즈마 프로세싱 시스템에서 위치 및 오프셋을 결정하는 방법이 제공된다. 이 방법은 제 1 복수의 데이터 세트를 생성하기 위해 제 1 복수의 경로를 따라 트래버싱 어셈블리를 이동시키는 단계를 포함하며, 이 트래버싱 어셈블리는 적어도 광원을 포함하고, 이 광원은 광 빔을 제공하며, 제 1 복수의 경로 중 각 경로를 따라 트래버싱 어셈블리를 이동시키는 것은 고아 빔으로 하여금 척을 트래버스하게 하고 제 1 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시킨다. 이 방법은 또한, 제 1 복수의 데이터 세트를 수신하는 단계 및 적어도 3개의 불연속의 제 1 세트를 식별하기 위해 제 1 복수의 데이터 세트를 분석하는 단계를 포함하고, 적어도 3개의 불연속의 제 1 세트는 광 빔이 척의 에지에 인카운터할 때 생성된 3개 이상의 반사 광 신호와 관련된다. 이 방법은 또한, 적어도 3개의 불연속의 제 1 세트와 관련된 좌표 데이터를 사용하여 척의 중심을 결정하는 단계를 포함한다.

Description

위치 및 오프셋을 결정하는 장치 및 방법{ARRANGEMENTS AND METHODS FOR DETERMINING POSITIONS AND OFFSETS}
반도체 기판 (예를 들어, 웨이퍼) 의 프로세싱에서, 플라즈마가 종종 이용된다. 플라즈마 프로세싱에서, 웨이퍼는 통상적으로 복수의 프로세싱 모듈을 포함하는 플라즈마 프로세싱 시스템을 사용하여 프로세싱된다. 기판 (예를 들어, 웨이퍼) 은 플라즈마 프로세싱 동안 프로세싱 모듈 내부의 척에 배치된다.
프로세싱 모듈의 안과 밖으로 웨이퍼를 이동시키기 위해, 웨이퍼는 통상적으로 단부 이펙터 (end effector) 상에 배치되고 척으로 이송된다. 단부 이펙터는 웨이퍼 이송 동안 웨이퍼를 지지하도록 구성된 구조적 컴포넌트이다. 단부 이펙터는 통상적으로 로봇 팔상에 배치된다. 도 1 은 웨이퍼 이송 동안 웨이퍼 (104) 를 지지하는 대표적인 종래 기술의 단부 이펙터 (102) 를 도시한다. 예시를 위해, 로봇 팔 (106) 의 일부가 또한 도시되어 있다.
일반적으로, 웨이퍼 이송 시퀀스 동안, 로봇 팔은 먼저, 웨이퍼 저장 카세트 또는 스테이션으로부터 웨이퍼를 픽 업하기 위해 단부 이펙터를 이동시킨다. 웨이퍼가 단부 이펙터상에 위치되면, 로봇 팔은 프로세싱 모듈에서의 도어를 통해 웨이퍼를 플라즈마 프로세싱 모듈로 이동시킨다. 그 후, 로봇 팔은 단부 이펙터 및 웨이퍼를 척상에 위치시키고, 그 후, 플라즈마 프로세싱을 위해 척상에 웨이퍼를 배치한다.
웨이퍼가 적절하게 프로세싱되는 것을 보장하기 위해 (이에 의해, 제어가능하고 반복가능한 프로세스 결과를 보장함), 웨이퍼는 플라즈마 프로세싱 동안 척상에 중심을 맞출 필요가 있다. 단부 이펙터가 척에 대하여 정확하게 중심을 맞추고 웨이퍼가 단부 이펙터에 대해 정확하게 중심을 맞추면, 웨이퍼는 로봇 팔이 척상에 웨이퍼를 배치할 때 척에 대해 정확하게 중심을 맞추게 된다. 그러나, 일부가 이하에 논의되는 많은 이유로, 이러한 이상적인 시나리오는 드문 경우이다.
프로세싱 챔버의 다양한 컴포넌트 사이의 머시닝 및/또는 제조 허용오차로 인하여, 단부 이펙터에 의해 정의된 중심 (여기서, "단부 이펙터 중심" 또는 단부 이펙터 정의 중심" 이라 칭함) 은 소정의 프로세싱 모듈에서 척의 중심에 대해 약간 오프셋되는 것이 가능하다. 그 결과, 로봇 제어기가 웨이퍼 배치를 위해 정확한 위치로 여기는 로봇 팔 위치에서 단부 이펙터 정의 중심이 척의 중심과 정확하게 정렬되지 않을 수도 있다는 것이 가능하다. 이러한 단부 이펙터/척 오정렬이 생산 동안 보상되지 않으면, 웨이퍼는 웨이퍼 프로세싱 동안 척 중심에 대해 부정확하게 배치될 수도 있다.
단부 이펙터/척 오정렬을 보상하기 위해, 교정 동안의 통상의 전략은, 단부 이펙터 정의 중심이 척의 중심과 실제로 정렬하는 위치로 로봇 팔을 이동시키는 것을 포함한다. 단부 이펙터 교정을 달성하기 위해, 오퍼레이터가 실제 단부 이펙터/척 정렬 위치를 확인할 수 있는 것이 필요하다. 종래 기술에서, 척 중심에 대한 단부 이펙터 정의 중심의 정렬은, 척의 에지에 피팅하거나 프로세싱 모듈 내부에 부착하는 제조된 기계적 고정기 (fixture) 를 사용하여 달성된다. 기계적 고정기는, 단부 이펙터가 교정 고정기의 키 피처 (key feature) 에 바로 기댈 수 있게 하는 키 피처 (본질적으로, 단부 이펙터에 대한 중심 돌출부) 를 갖는다. 고정기가 척에 대해 중심을 맞추고 있기 때문에, 단부 이펙터가 고정기의 키 피처에 기댈 때, 단부 이펙터는 척상에 중심을 맞춘다. 통상적으로, 키 피처에 대한 단부 이펙터의 포지셔닝은, 단부 이펙터가 키 피처에 기대도록 키 피처에 대해 단부 이펙터를 당기고 미는 오퍼레이터에 의해 달성된다.
오퍼레이터가 키 피처에 대해 단부 이펙터를 위치시킨 이후에, 오퍼레이터는 로봇 제어 시스템이 이러한 실제 단부 이펙터/척 정렬을 달성하는 로봇 팔의 위치를 로봇 제어의 좌표계에서 기록할 수 있도록 로봇 제어 시스템에 로봇 팔 위치를 등록한다.
생산 동안, 로봇 팔은 이러한 이펙터/척 정렬 위치와 관련된 좌표로 단부 이펙터를 이동시킨다. 웨이퍼가 단부 이펙터에 대하여 중심을 맞추면, 단부 이펙터 정의 중심이 척 중심과 이제 실제로 정렬한다는 사실은, 웨이퍼가 웨이퍼 프로세싱을 위해 척상에 로봇 팔에 의해 배치될 때 웨이퍼가 척에 대해 중심을 맞추게 한다.
그러나, 교정을 위해 척에 대해 단부 이펙터의 중심을 맞추는 종래 기술이 갖는 단점들이 존재한다. 무엇보다도, 많은 타입의 척 및 프로세싱 모듈이 현존한다. 따라서, 교정을 수행하기 위해 기계적 고정기 접근방식을 사용하기 위해서는, 다수의 기계적 고정기가 제조되고 구입되어야 한다. 또한, 하나 이상의 하드 금속 에지 또는 표면을 가질 수도 있는 물리적 기계적 고정기를 척상에 부착하는 것은, 척을 잠재적으로 손상시킬 수도 있다. 또한, (예를 들어, 단부 이펙터가 생산 공정에 후속하여 척에 대해 중심이 맞지 않을 수도 있는 문제에 응답하여) 일부 플라즈마 사이클이 프로세싱 모듈에서 실행된 이후에 이러한 교정이 필드에서 행해지는 경우에, 척상의 물리적 교정 고정기의 부착은 척상에 또는 척 근처의 증착된 입자가 프로세싱 챔버로 박리되게 할 수도 있다. 후속 프로세싱 사이클 동안, 이러한 입자는 바람직하지 못한 입자 오염물을 구성한다.
또한, 교정이 대기압에서 수행되기 때문에, 종래의 교정 기술은 생산 동안 존재하는 조건을 효율적으로 복제하지 못할 수도 있다. 이것은 생산 동안, 프로세싱 모듈의 컴포넌트가 진공하에 배치될 수도 있어서, 하나 이상의 컴포넌트를 진공 환경과 주위 분위기 사이의 압력차로 인해 시프트하게 하기 때문이다. 교정 조건이 생산 조건을 정확하게 복제하지 못하기 때문에, 정확한 교정이 불가능할 수도 있다.
또한, (예를 들어, 기계적 고정기의 키 피처에 대해 기대도록 단부 이펙터를 당기거나 미는 것을 포함하는) 단부 이펙터/척 정렬 위치에서의 단부 이펙터의 포지셔닝이 수동으로 수행되는 경우에, 로봇 제어기에 이러한 단부 이펙터/척 정렬 위치를 등록하러 가기 위해 오퍼레이터가 로봇 팔을 릴리즈할 때 로봇 팔 위치에서 시프트가 존재할 수도 있다. 이러한 시프트는 예를 들어, 로봇 모터가 전원절단된다는 사실을 포함하는 다수의 이유로 발생할 수도 있다. 로봇 팔이 로봇 오퍼레이터에게 인지될 수 없을 수도 있는 소량 만큼이라도 당겨질 때, 이러한 시프트는 교정 프로세스에 부정확성을 발생시킬 수도 있다. 교정 프로세스가 부정확하면, 생산 동안 부정확한 웨이퍼 배치가 발생할 수도 있어서, 수율을 감소시키고 제조품에 대한 거부 및/또는 실패율을 증가시킨다.
상기 언급한 논의는, 단부 이펙터와 척 사이의 가능한 오정렬, 및 그에 대한 종래 기술의 솔루션에 관한 것이다. 그러나, 단부 이펙터 정의 중심이 척 중심과 정확하게 정렬되는 경우에도 (또는 정확한 정렬의 효과를 달성하도록 이루어질 수 있는 경우에도), 생산 동안 웨이퍼/척 오정렬을 발생시킬 수도 있는 에러의 다른 잠재적 소스가 존재한다. 즉, 상이한 생산 웨이퍼가 단부 이펙터상에 다르게 위치될 수도 있다. 단부 이펙터 정의 중심이 웨이퍼의 중심과 정확하게 또는 일관되게 정렬되지 않으면, 웨이퍼/척 오정렬이 생산 동안 여전히 발생할 수도 있다. 이러한 경우에서, 단부 이펙터 중심이 척 중심과 정확하게 정렬되더라도, 단부 이펙터가 프로세싱을 위해 척상에 웨이퍼를 놓을 때 웨이퍼/단부 이펙터 오정렬은 웨이퍼가 척에 대해 오프셋되게 한다.
또한, 동일한 제조 및 어셈블리 허용오차 문제가 하부 전극에 대한 상부 전극의 정렬에 영향을 미친다. 예를 들어, 일부 생산 플라즈마 프로세싱 시스템에서, 제조 및 어셈블리 허용오차는 상부 전극이 척으로부터 약간 오프셋되게 하여서, 비대칭 플라즈마 시스 (plasma sheath) 를 발생시키고, 이것은 플라즈마 프로세싱의 제어가능성에 영향을 미친다. 다른 예로서, 상부 전극은 일부 플라즈마 프로세싱 시스템에서 이동가능하도록 구성될 수도 있다. 시간을 통해, 상부 전극 어셈블리는 "유극 (play)" 또는 규격을 벗어난 허용오차를 나타낼 수도 있어서, 이롭지 못한 상부 전극/척 오프셋을 발생시킨다. 그 결과, 플라즈마 프로세싱 결과가 손상될 수도 있다.
상술한 바로부터 알 수 있는 바와 같이, 다양한 오정렬 문제가 플라즈마 프로세싱 모듈에서의 컴포넌트들 사이에서 시간을 통해 나타나고/나거나 존재할 수도 있다. 논의한 바와 같이, 이들 오정렬 문제를 외부 툴 또는 외부 정렬 고정기를 사용하여 다루면, 프로세싱 모듈 컴포넌트에 대한 잠재적 손상이 발생할 수도 있다. 또한, 오정렬 문제가 프로세싱 모듈 환경의 외부에서 다루어지면, 에러는 챔버 조건에서의 부동성 (dissimilarity) (정렬 동안 존재하는 챔버 조건과 생산 동안 존재하는 챔버 조건에서의 부동성) 으로 인해 상승할 수도 있다.
또한, 종래 기술이 오정렬 문제를 다루기 위해 프로세싱 모듈의 안과 밖에서 웨이퍼의 셔플링을 요구하는 경우에, 과도한 시간량이 정렬 문제만에 대해 낭비될 수도 있다. 낭비된 시간은 시간 단위당 완성된 디바이스의 더 낮은 생산 및/또는 단위당 더 높은 디바이스 비용으로 해석하는 경향이 있는 플라즈마 프로세싱 툴의 오퍼레이터에 대한 더 높은 소유 비용에 기여한다.
본 발명은 일 실시형태에서, 플라즈마 프로세싱 시스템에서 위치와 오프셋을 결정하는 방법에 관한 것이고, 적어도 척과 상부 전극을 포함하는 플라즈마 프로세싱 시스템이 제공된다. 이 방법은, 제 1 복수의 데이터 세트를 생성하기 위해 제 1 복수의 경로를 따라 트래버싱 어셈블리 (traversing assembly) 를 이동시키는 단계를 포함하며, 트래버싱 어셈블리는 적어도 광원을 포함하고, 광원은 광 빔을 제공하고, 제 1 복수의 경로의 각 경로를 따라 트래버싱 어셈블리를 이동시키는 단계는 광 빔이 척을 트래버싱하게 하고, 제 1 복수의 데이터 세트 중 하나 이상의 데이터 세트를 발생시킨다. 이 방법은 또한, 제 1 복수의 데이터 세트를 수신하는 단계 및 적어도 3개의 불연속의 제 1 세트를 식별하기 위해 제 1 복수의 데이터 세트를 분석하는 단계를 포함하며, 적어도 3개의 불연속의 제 1 세트는, 광 빔이 척의 에지에 인카운터될 때 생성된 3개 이상의 반사광 신호와 관련된다. 이 방법은 또한, 적어도 3개의 불연속의 제 1 세트와 관련된 좌표 데이터를 사용하여 척의 중심을 결정하는 단계를 포함한다.
상기 개요는 여기에 개시된 본 발명의 다수의 실시형태들 중 단지 하나에 관한 것이며, 본 명세서의 청구범위에서 설명되는 본 발명의 범주를 제한하려는 것이 아니다. 본 발명의 이들 및 다른 특징들을 아래의 도면과 함께 본 발명의 상세한 설명에서 더욱 상세히 후술한 것이다.
본 발명은 동일한 참조 부호가 유사한 엘리먼트를 칭하는 첨부한 도면에서 제한이 아닌 예로서 예시된다.
도 1 은 웨이퍼 이송 동안 웨이퍼를 지지하는 대표적인 종래의 단부 이펙터를 도시한다.
도 2 는 본 발명의 실시형태에 따라, 척을 갖는 프로세싱 모듈에서의 인-시츄 광학 측정 장치의 상면에서 본 도면을 도시한다.
도 3 은 본 발명의 실시형태에 따라, 본 도면이 상부 전극의 저면에서 본 도면이다는 점을 제외하고는, 도 2 와 유사한 상황을 도시한다.
도 4 는 본 발명의 실시형태에 따라, 레이저 어셈블리가 웨이퍼를 가로질러 경로를 따라 트래버스할 때 반사율 불연속 데이터를 획득하는 장치를 도시한다.
도 5 는 본 발명의 실시형태에 따라, 웨이퍼가 척상에 강하되었다는 점을 제외하고는, 도 4 와 유사한 장치를 도시한다.
도 6 은 본 발명의 실시형태에 따라, 2개의 트레이스로부터 오프셋의 결정을 예시한다.
도 7 은 본 발명의 실시형태에 따라, 프로세싱 모듈에서의 컴포넌트 및/또는 오브젝트의 위치 및/또는 오프셋을 결정하는 인-시츄 광학 기술의 단계들의 예시적인 플로우차트를 도시한다.
이제, 첨부한 도면에 예시된 바와 같은 본 발명의 몇몇 실시형태를 참조하여 본 발명을 상세히 설명할 것이다. 아래의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 특정한 상세가 설명된다. 그러나, 본 발명이 이들 특정한 상세의 일부 또는 전부 없이도 실시될 수도 있다는 것이 당업자에게는 명백할 것이다. 다른 경우에서, 널리 공지된 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해 상세히 설명하지 않는다.
방법 및 기술을 포함하는 다양한 실시형태를 이하에 설명한다. 본 발명이 또한, 본 발명의 실시형태들을 수행하는 컴퓨터 판독가능한 명령들이 저장되는 컴퓨터 판독가능한 매체를 포함하는 제조품을 커버할 수도 있다는 것을 유념해야 한다. 컴퓨터 판독가능한 매체는 예를 들어, 반도체, 자성체, 광자성체, 광학체, 또는 컴퓨터 판독가능한 코드를 저장하는 다른 형태의 컴퓨터 판독가능한 매체를 포함할 수도 있다. 또한, 본 발명은 본 발명의 실시형태들을 실시하는 장치를 또한 커버할 수도 있다. 이러한 장치는 본 발명의 실시형태들에 관한 작업들을 수행하도록 전용되고/되거나 프로그램가능한 회로를 포함할 수도 있다. 이러한 장치의 예는, 적절하게 프로그램될 때 범용 컴퓨터 및/또는 전용 컴퓨팅 디바이스를 포함하며, 본 발명의 실시형태들에 관한 다양한 작업들을 위해 구성된 컴퓨터/컴퓨팅 디바이스와 전용/프로그램가능한 회로의 조합을 포함할 수도 있다.
본 발명의 실시형태들은, 프로세싱 모듈에서의 컴포넌트 및 오브젝트의 위치 및/또는 플라즈마 프로세싱 모듈에서의 컴포넌트 및/또는 모듈 사이의 오프셋 (예를 들어, 오정렬) 을 확인하는 인-시츄 광학 기술에 관한 것이다. 프로세싱 모듈 컴포넌트, 단부 이펙터 및/또는 웨이퍼 중에서 오정렬의 존재 및/또는 정도를 확인함으로써, 이러한 오정렬을 다루기 위한 (컴포넌트의 로봇 팔 포지셔닝 보상 또는 리포지셔닝/리어셈블리와 같은) 방식이 개발될 수도 있다.
종래의 접근방식과 다르게, 본 발명의 실시형태들은 (척과 상부 전극 사이와 같은) 다양한 프로세싱 모듈 컴포넌트들 사이의 오프셋을, 인 시츄 및 프로세싱 모듈의 컴포넌트에 손상 위험을 야기하지 않는 방식으로 측정할 수 있다. 또한, 본 발명의 실시형태들은 척상에 웨이퍼 강하 직전 또는 웨이퍼 강하 이후에 웨이퍼와 다양한 프로세싱 모듈 컴포넌트 사이의 오프셋을, 인 시츄 및 웨이퍼 또는 컴포넌트를 잠재적으로 손상시키지 않는 방식으로 측정할 수 있다. 또한, 본 발명의 실시형태들은 (웨이퍼 중심에 대한 베벨 에칭의 프로세스 동심성과 같은) 웨이퍼 프로세싱 정확도를 인-시츄 및 웨이퍼 또는 컴포넌트를 잠재적으로 손상시키지 않는 방식으로 평가할 수 있다. 또한, 본 발명의 실시형태들은 하드웨어 고정기를 사용하는 대기 상태 교정과 관련된 문제를 예방하기 위해 인 시츄 광학 기술을 사용하여 자체 교정할 수 있다.
본 발명의 하나 이상의 실시형태에서, 인 시츄 광학 기술은, 광 빔이 플라즈마 프로세싱 모듈의 내부를 트래버스할 때 반사율 불연속을 광학적으로 측정하는 것을 포함한다. 광 빔이 플라즈마 프로세싱 모듈 내부의 컴포넌트를 트래버스할 때, 반사율 측정이 취해진다. 광 빔이 하나의 반사율 영역과 다른 반사율 영역 사이의 트랜지션에 인카운터할 때, 측정된 반사율이 변화한다. 예를 들어, 척의 상부 면에 의해 형성되는 평면에 수직으로 유지되는 광 빔은 척을 향해, 척의 상부 면을 가로질러, 그리고 척으로부터 떨어져 직선으로 트래버스할 수도 있다. 광 빔이 척 외연에 먼저 인카운터할 때, 하나의 반사율 영역으로부터 다른 반사율 영역으로의 트랜지션이 존재하며, 광 빔의 측정된 반사율은 그에 따라 변화한다.
이러한 경우에서, 반사율의 변화는 불연속을 인식하도록 기록되고 분석될 수도 있다. 광 빔이 척의 상부 면을 가로지른 횡단을 완료하고 척으로부터 떨어져 이동하기 시작한 이후에, 반사율 영역에서의 다른 변화가 척의 에지로부터 주위의 영역(들)까지의 빔 트랜지션으로서 경험된다. 이러한 경우에, 반사율에서의 변화는 다른 불연속을 인식하도록 기록되고 분석될 수도 있다. 척의 상부 면을 가로지른 다중의 패스를 구성하고 불연속이 발생하는 빔 위치를 기록함으로써, 빔의 좌표계에서 척의 중심의 위치를 포함하는 척의 위치를 확인할 수 있다. 원주상의 3개 이상의 포인트로부터 원의 결정은 알려진 수학적 문제이고 여기에서 반복하지 않는다.
다른 실시형태에서, 광 빔은 또한 상부 전극의 플라즈마 대면 표면을 트래버스할 수도 있다. 다시, 반사율에서의 불연속은, 광 빔이 상부 전극의 외부로부터 상부 전극 표면 자체까지 그리고 다시 상부 전극으로부터 이격되어 트랜지션할 때 획득될 수도 있다. 상부 전극 표면을 가로지른 다중의 패스를 구성하고 불연속이 발생하는 위치를 기록함으로써, 빔의 좌표계에서, 상부 전극의 중심의 위치를 포함하는 상부 전극의 위치를 확인할 수 있다.
하나 이상의 실시형태에서, 불연속에 관한 데이터를 분석함으로써 위치가 획득되는 상부 전극 및 척의 위치는, 상부 전극과 척 사이의 상대적 오프셋을 계산하기 위해 이용될 수도 있다. 상부 전극 및 척의 위치 양자가 빔의 좌표계에서 확인되기 때문에, 그들의 위치를 비교하고 상대적 오프셋을 획득할 수 있다. 상대적 오프셋 값은 현장 기술자가 임의의 바람직하지 못한 상부 전극/척 오프셋을 다루고 프로세스 문제를 더욱 효율적으로 고장수리하는 것을 도울 수 있는 유용한 정보를 산출할 수도 있다.
하나 이상의 실시형태에서, 레이저 어셈블리가 정확하게 교정되면, (반사율 불연속 기록으로부터 확인된 바와 같은) 척의 절대 위치는 척의 예상 위치에 대해 비교될 수도 있으며, 예상 위치로부터의 임의의 오프셋이 확인될 수도 있다. 유사하게는, 레이저 어셈블리가 정확하게 교정되면, (반사율 불연속 기록으로부터 확인된 바와 같은) 상부 전극의 절대 위치가 상부 전극의 예상 위치에 대해 비교될 수도 있으며, 예상 위치로부터의 임의의 오프셋이 확인될 수도 있다. 다시, 이들 오프셋은 척 및/또는 상부 전극과의 정렬 문제가 존재하는지를 현장 기술자가 확인하는 것을 도울 수도 있다.
본 발명의 하나 이상의 실시형태에서, 인 시츄 광학 교정 기술이 제공된다. 실시형태에서, 공지된 반사율의 교정 영역을 갖는 광학 교정 고정기가 (예를 들어, 척의 일 측 및/또는 상부 전극의 일 측상에서) 광 빔의 경로를 따라 소정의 위치에 위치된다. 예를 들어, N% 반사율의 제 2 교정 영역에 인접하게 위치된 M% 반사율의 제 1 교정 영역을 갖는 교정 플레이트가 제공될 수도 있다 (여기서, M% 및 N% 는 알려진 반사율을 나타낸다).
빔이 이러한 광학 교정 고정기를 트래버스할 때, 측정된 반사율은 인카운터된 교정 영역에 의존하여 변화한다. 반사율 기록을 교정 영역의 알려진 반사율에 비교함으로써, 반사율 기록 센서 및/또는 분석 로직의 정확도가 교정될 수도 있다. 또한, 불연속을 검출하는데 책임이 있는 로직부가 또한 교정될 수도 있다. 추가로 또는 다른 방법으로, 광학 교정 고정기가 알려진 소정의 위치에 위치될 수도 있기 때문에, 반사율 불연속의 기록된 위치는 반사율 불연속의 예상 위치에 대해 비교될 수도 있다. 이러한 데이터로, 빔을 이동시키고/시키거나 빔의 위치를 기록하는데 수반되는 시스템(들)의 정확도가 또한 교정될 수도 있다.
본 발명의 하나 이상의 실시형태에서, 인 시츄 광학 기술은 웨이퍼의 절대 위치 또는 웨이퍼와 (척, 상부 전극, 또는 심지어 로봇 팔/이펙터와 같은) 프로세싱 모듈의 다른 컴포넌트 사이의 오프셋을 측정하기 위해 이용될 수도 있다. 실시형태에서, 웨이퍼의 상부 표면에 의해 형성된 평면에 수직으로 유지되는 광 빔은 웨이퍼의 상부 표면을 가로질러, 그리고 웨이퍼로부터 이격되어 웨이퍼를 향해 직선으로 트래버스할 수도 있다. 광 빔이 웨이퍼 외연에 먼저 인카운터할 때, 하나의 반사율 영역으로부터 다른 반사율 영역으로의 트랜지션이 존재하며, 광 빔의 반사율은 그에 따라 변화한다.
이러한 경우에서, 반사율에서의 변화는 불연속을 인식하기 위해 기록되고 분석될 수도 있다. 광 빔이 웨이퍼의 상부 표면을 가로질러 이동하고 웨이퍼로부터 이격되어 이동하기 시작한 이후에, 반사율 영역에서의 다른 변화는 광 빔이 웨이퍼의 에지로부터 주위의 영역(들)으로 트랜지션할 때 경험된다. 이러한 경우에서, 반사율에서의 변화는 다른 불연속을 인식하기 위해 기록되고 분석될 수도 있다. 웨이퍼의 상부 표면을 가로지른 다중의 패스를 구성하고 불연속이 발생하는 빔 위치를 기록함으로써, 빔의 좌표계에서, 웨이퍼의 중심의 위치를 포함하는 웨이퍼의 위치를 확인할 수 있다.
또한, 웨이퍼 강하 이전에 단부 이펙터상에서 웨이퍼가 척의 상부에 위치되거나, 웨이퍼가 척 자체상에 놓이면, 웨이퍼의 에지 및 척의 에지는 광 빔 반사율 불연속을 발생시킨다. 이들 불연속이 발생하는 빔 위치를 기록함으로써, 하나 이상의 패스 이후에 웨이퍼가 척에 대해 동심적으로 위치되는지를 결정할 수 있다. 상부 전극의 위치가 앞서 논의한 방식에서 상부 전극을 트래버싱하는 광 빔을 사용하여 또한 확인되는 경우에, 웨이퍼와 상부 전극 사이에 오프셋이 존재하는지 여부 및 이러한 오프셋의 정도를 또한 결정할 수 있다.
빔 경로가 로봇 팔 및/또는 단부 이펙터를 트래버스하는 경우에, 반사율 불연속 데이터로부터 단부 이펙터의 중심 또는 로봇 팔의 위치를 찾기 위해 외삽 (extrapolate) 하거나 커브 피팅 (curve fit) 할 수 있다. 또한, 이러한 정보는 최적의 웨이퍼 이송을 위해 로봇 팔 및/또는 단부 이펙터를 정렬하도록 이용될 수도 있다.
본 발명의 하나 이상의 실시형태에서, 광학 인-시츄 기술은 또한, 베벨 에칭 프로세스의 효율을 평가하기 위해 이용될 수도 있다. 상술하면, 웨이퍼는 일반적으로 적어도 2개의 영역 : 웨이퍼의 중심 영역 대부분을 점유하는 웨이퍼 형성 영역 및 일반적으로 디바이스가 형성되지 않는 웨이퍼의 외부 주변에 존재하는 "링" 또는 "베벨" 영역을 갖는다. 디바이스 수율을 최대화하기 위해 임의의 소정의 웨이퍼에서 웨이퍼 형성 영역을 최대화하는 것이 매우 바람직하지만, 그럼에도 불구하고, 링 또는 베벨 영역이 존재하며, 다양한 프로세스 균일성 및 다른 이유로 인해 디바이스를 형성하는데 통상적으로 이용되지 않는다.
베벨 영역이 디바이스 형성에 이용되지 않더라도, 특정한 프로세스 단계들은 베벨 영역에서 의도하지 않고 우연한 프로세싱 (즉, 증착) 을 발생시킬 수도 있다. 제거하지 않고 남겨두면, 베벨 영역에서의 이러한 의도치 않은 증착은 예를 들어, 후속 에칭 단계에서 프로세싱 결과를 열화시킬 수도 있다. 따라서, 베벨 에칭은 하나 이상의 후속 단계 이전에 베벨 영역으로부터 재료를 제거하거나 "세정"하기 위해 종종 이용된다.
베벨 영역이 디바이스 형성 영역에 바로 인접하고 디바이스 형성 영역을 둘러싸는 경향이 있기 때문에, (디바이스 형성 영역으로부터가 아닌) 베벨 영역으로부터만 재료를 제거하도록 설계된 베벨 에칭의 정확도가 중요하다. 이 에칭이 부정확하면, 디바이스 형성 영역으로부터 재료를 의도치 않게 제거할 수 있으며, 이것은 최종 제품에서 결함을 초래한다.
하나 이상의 실시형태에서, 베벨 에칭의 정확도는 인-시츄 광학 기술을 사용하여 확인된다. 일 실시형태에서, 웨이퍼의 상부 표면에 의해 형성되는 평면에 수직으로 유지되는 광 빔은, 웨이퍼의 상부 표면을 가로질러, 그리고 웨이퍼로부터 이격되어 웨이퍼를 향해 직선으로 트래버스할 수도 있다. 광 빔이 웨이퍼 외연에 먼저 인카운터할 때, 하나의 반사율 영역으로부터 다른 반사율 영역으로의 트랜지션이 존재하며, 광 빔의 반사율은 그에 따라 변화한다.
이러한 경우에서, 반사율에서의 변화는 불연속을 인식하기 위해 기록되고 분석될 수도 있다. 광 빔이 웨이퍼의 베벨 영역을 가로질러 이동한 이후에, 광 빔은 디바이스 형성 영역의 시작에 인카운터한다. 이 때, 하나의 반사율 영역으로부터 또 다른 반사율 영역으로의 트랜지션이 존재하며, 광 빔의 반사율은 그에 따라 다시 변화한다. 반사율에서의 변화는 다른 불연속을 인식하기 위해 기록되고 분석될 수도 있다.
빔이 디바이스 형성 영역상에서 웨이퍼의 표면을 계속 트래버스하기 때문에, 빔은 웨이퍼의 다른 측에 접근한다. 먼저, 빔은 디바이스 형성 영역으로부터 베벨 영역으로 역 트랜지션한다. 다른 반사율 불연속이 인카운터되며, 따라서 빔 위치가 기록될 수도 있다. 마지막으로, 광 빔이 베벨 영역을 가로질러 트래버스한 이후에, 광 빔은 웨이퍼 에지에 인카운터하며 다른 반사율 불연속이 인카운터된다.
웨이퍼를 가로질러 다중의 패스를 구성함으로써, 디바이스 형성 영역 및 베벨 영역을 외삽하고 베벨 영역이 웨이퍼에 대해 동심인지를 확인할 수 있다. 웨이퍼의 중심과 베벨 영역인 동심 "링" 의 중심 사이에 오프셋이 존재하면, 이러한 오프셋은 베벨 에칭 정확도에 따라 문제를 제시할 수도 있고, 인-시츄 데이터가 에칭 정확도 이슈를 다루기 위한 피드백으로서 이용될 수도 있다.
본 발명의 특징들 및 이점들은 후속하는 논의와 도면을 참조하여 더욱 양호하게 이해될 수도 있다. 도 2 는 본 발명의 실시형태에 따라, 척 (204) 을 갖는 프로세싱 모듈 (202) 에서의 인-시츄 광학 측정 장치의 상부에서 본 도면을 도시한다. 인-시츄 광학 측정 장치는, 이러한 예에서, 트래버서 바 (208) 및 레이저 어셈블리 (210) 를 포함하는 레이저-트래버싱 어셈블리를 포함한다. 트래버서 바 (208) 는 도시된 바와 같이 척의 표면상의 방향 X 에서 (상이한 애플리케이션에 대해 변화할 수도 있는) 일정한 속도로 이동하도록 구성된다. 레이저 어셈블리 (210) 는 트래버서 바 (208) 상의 방향 Y 를 따라 진행하도록 구성되며, 척 (204) 의 상부 표면에 의해 형성되는 평면에 수직인 방향에서 광 빔을 방출하도록 구성된 통합 레이저 및 센서 어셈블리를 나타낸다. 통합 센서는, 레이저 어셈블리 (210) 가 도 2 의 예에서 경로 (220, 222 및 224) 를 따라 트래버스할 때 광 빔으로부터의 반사율 데이터를 기록한다.
도 2 는 또한, 레이저 어셈블리 (210) 가 경로 (224) 를 따라 트래버스할 때 레이저 어셈블리 (210) 의 센서에 의해 획득된 반사율 측정치를 나타내는 트레이스 (250) 를 도시한다. 경로 (224) 는 인-시츄 광학 측정 시스템의 동작의 설명을 단순화하기 위해 선택되며, 실제 측정 동안 이용된 경로를 반드시 나타내지는 않는다. 예를 들어, 경로 (220 및 222) 는 일부 경우에서, 원하는 측정 데이터를 획득하는데 더욱 적합한 것으로 여겨질 수도 있다.
도 2 의 예에서, 척은 다층이며, 반사율 측정치는 광 빔이 경로 (224) 를 따라 트래버스할 때 트레이스 (250) 에 도시된다. 예를 들어, 광 빔이 척 (204) 의 에지상의 위치 (252) 에 인카운터할 때, 불연속 (254) 이 트레이스 (250) 에 나타난다. 광 빔이 척 (204) 의 에지상의 위치 (256) 에 인카운터할 때, 다른 불연속 (258) 이 트레이스 (250) 에 나타난다. (예를 들어, 트래버서 바 (208) 및 레이저 어셈블리 (210) 를 이동시키는 모터에 대한 스텝퍼 모터 인코더 값으로부터 위치가 획득되는) 레이저 이미터의 현재 위치는, 반사율 불연속이 검출되는 각 경우에 기록된다.
레이저 어셈블리 (210) 가 경로 (224) 를 따라 트래버스할 때 광 빔이 척의 반대측에 도달하는 경우에 유사한 불연속이 트레이스 (250) 에 나타난다. 다시, 레이저 이미터의 현재의 위치는 이들 불연속에 대해 기록된다. 이들 반사율 불연속 위치가 레이저-트래버싱 어셈블리 좌표계에 기록되기 때문에, 이들 위치에 대한 좌표를 사용하여, 경로 (224) 가 위치 (252 및 256) 뿐만 아니라 위치 (260 및 262) 를 크로스하는 위치를 계산할 수 있다. 다중 경로 (예를 들어, 경로 (220 또는 222) 중 하나 이상) 가 트래버스될 때, 레이저-트래버싱 어셈블리 좌표계에서, 척 뿐만 아니라 척 중심을 나타내는 원의 계산을 허용하기 위해 충분한 불연속 상관 위치 데이터 포인트가 획득될 수도 있다.
도 2 에서, 광학 교정 고정기 (270) 가 또한 도시되어 있다. 광학 교정 어셈블리는 공지된 반사율을 갖는 적어도 2개의 교정 영역 (272a 및 272b) 을 포함한다. 광 빔이 이들 교정 영역을 히트할 때, (트레이스 (250) 상에서 참조 부호 274 로 도시된) 기록된 반사율 데이터가 반사율을 감지하는 센서를 교정하기 위해 이용될 수도 있다. 다른 방법으로는 또는 추가로, 교정 고정기 (270) 는 프로세싱 모듈에서의 공지된 위치에 위치될 수도 있다. 반사율 불연속이 인카운터될 때 레이저 이미터의 좌표가 획득될 수도 있다. 이들 좌표는 레이저-트래버싱 어셈블리의 포지셔닝 감지 로직 및/또는 모터 제어기(들)를 교정하기 위해 광학 교정 고정기 (270) 의 공지된 위치에 대해 비교될 수도 있다.
도 3 은 본 발명의 실시형태에 따라, 본 도면이 상부 전극의 저면에서 본 도면이다는 점을 제외하고는, 유사한 상황을 도시한다. 다시, 레이저-트래버싱 어셈블리는 트래버서 바가 방향 X 로 이동하는 것을 허용하고, 레이저 이미터 및 반사율 센서 양자를 포함하는 레이저 어셈블리는 방향 Y 로 이동한다. 불연속 데이터는, 레이저 트래버싱 어셈블리가 경로 (304) 를 따라 트래버스할 때 도시된 바와 같이 트레이스 (302) 에 의해 표현된다.
도 4 는 본 발명의 실시형태에 따라, 레이저 어셈블리가 단부 이펙터 (406) 상에 놓인 웨이퍼 (404) 를 가로질러 경로 (302) 를 따라 트래버스할 때 반사율 불연속이 획득되는 배열을 도시한다. 웨이퍼 (404) 는 도 4 에서 척 (408) 의 상부에 위치된다. 반사율 불연속은 도시된 바와 같이 트레이스 (410) 에 나타난다. 반사율 불연속이 인카운터되는 위치에서 레이저 이미터 위치를 기록함으로써, 웨이퍼 및 척을 나타내는 원의 외삽을 용이하게 하기 위해 몇몇 횡단 경로를 취한 이후에 충분한 데이터가 획득될 수도 있다. 그 후, 이들 외삽된 원은, 웨이퍼와 척 사이에 오프셋이 존재하는지 여부, 및 이러한 오프셋의 정도를 결정하기 위해 검사될 수도 있다.
도 4 을 참조하면, 웨이퍼가 존재하지 않는 경우에, 원하면, 단독으로 또는 척에 대해 단부 이펙터의 중심 및/또는 위치를 외삽하기 위해 반사율 불연속 데이터를 획득할 수 있다. 다른 방법으로는, 레이저 횡단 경로는, 웨이퍼가 단부 이펙터상에 놓이더라도 로봇 팔 및/또는 단부 이펙터의 일부 식별가능한 부분을 크로스하도록 구성될 수도 있다. 광 빔은 단부 이펙터의 아래와 위로부터 방출될 수도 있다. 로봇 팔의 형상 및 로봇 팔상의 단부 이펙터의 위치가 공지되면, 임의의 적절치 않은 오프셋이 존재하는지를 결정하기 위해 단독으로 또는 척에 대해 반사율 불연속 데이터로부터 단부 이펙터 중심을 외삽할 수 있다.
도 5 는 본 발명의 실시형태에 따라, 웨이퍼가 척상에 강하되었다는 점을 제외하고는 유사한 배열을 도시한다. 다시, 레이저-트래버싱 어셈블리는 트래버서 바가 방향 X 로 이동하는 것을 허용하고, 레이저 이미터 및 반사율 센서 양자를 포함하는 레이저 어셈블리는 방향 Y 로 이동한다. 레이저 트래버싱 어셈블리가 경로 (504) 를 따라 트래버스할 때 도시된 바와 같이 불연속 데이터가 트레이스 (502) 에 의해 나타난다. 또한, 반사율 불연속이 인카운터되는 위치에서 레이저 이미터 위치를 기록함으로써, 웨이퍼 및 척을 나타내는 원의 외삽을 용이하게 하기 위해 몇몇 횡단 경로가 취해진 이후에 충분한 데이터가 획득될 수도 있다. 그 후, 이들 외삽된 원은, 웨이퍼가 척상에 배치된 이후에 웨이퍼와 척 사이에 오프셋이 존재하는지 여부, 및 이러한 오프셋의 정도를 결정하기 위해 검사될 수도 있다. 계산된 오프셋은, 후속 웨이퍼가 척상에 배치될 때, 오정렬이 제거되도록 그 계산된 오프셋을 설명하기 위해 어떤 거리 만큼 로봇 팔을 이동시킴으로서 후속 웨이퍼 이송에서 보상될 수도 있다.
하나 이상의 실시형태에서, 상대적 위치 정보를 획득하기 위해 트레이스 비교가 수행될 수도 있다. 예를 들어, (도 3 에서 상부 전극을 스캐닝함으로써 획득된) 트레이스 (302) 를 (도 2 에서 척을 스캐닝함으로써 획득된) 트레이스 (250) 와 비교함으로써, 척과 상부 전극 사이에 오프셋이 존재하는지 여부를 확인할 수 있다. 이 비교를 수행하기 위해, 트레이스 (250) 및 트레이스 (302) 는 레이저 어셈블리가 프로세싱 모듈에서 동일한 경로를 트래버스할 때 획득된 반사율 데이터를 나타낸다. 도 6 은 이러한 예를 도시하며, 여기서, 트레이스 (302) 와 트레이스 (250) 의 비교는 트레이스 (602) 를 발생시킨다. 도 6 의 예에서, 2개의 트레이스가 서로로부터 감산되며, 펄스 (608 및 610) 가 획득된다. 척이 상부 전극으로부터 오프셋되면, 펄스 (608 및 610) 의 폭은 상이하며, 이것은 척과 상부 전극 사이의 비동심성의 정도를 나타낸다.
웨이퍼상의 베벨 영역 및/또는 웨이퍼상의 디바이스 형성 영역 및/또는 웨이퍼 및/또는 프로세싱 모듈 컴포넌트 (예를 들어, 척, 로봇 팔, 단부 이펙터, 상부 전극 등) 의 상대적 포지셔닝을 획득하기 위해 유사한 비교가 임의의 트레이스들의 쌍 사이에서 이루어질 수도 있다. 예를 들어, 척에 대한 웨이퍼, 상부 전극에 대한 척, 웨이퍼에 대한 상부 전극, 척에 대한 단부 이펙터, 상부 전극에 대한 단부 이펙터, 웨이퍼 에지에 대한 웨이퍼상의 디바이스 형성 영역, 디바이스 형성 영역에 대한 웨이퍼상의 베벨 영역, 척에 대한 디바이스 형성 영역, 상부 전극에 대한 디바이스 형성 영역, 척에 대한 베벨 영역, 상부 전극에 대한 베벨 영역 등의 상대적 위치를 결정할 수 있다.
여기에서의 예들이 단부 이펙터와 독립적으로 탑재된 레이저 어셈블리를 도시하지만, 프로세싱 모듈내의 트래버싱을 위해 단부 이펙터상에 레이저 어셈블리를 탑재하는 것이 또한 가능하다. 또한, 레이저 어셈블리가 X 및 Y 방향으로 선형으로 병진운동하는 것으로 도시되지만, 레이저 어셈블리를 회전적으로 이동시키고, 소정의 회전 벡터에 따라 레이저 어셈블리의 위치와 함께 회전각을 기록하는 것이 가능하다.
또한, 레이저 어셈블리가 기계적 병진운동 메카니즘에 의해 이동하는 것으로 도시되지만, 프리즘을 사용하는 것과 같이 광학적으로 빔을 조정하는 것이 또한 가능하다. 반사율 불연속의 위치가 일부 기준 좌표계에서 획득될 수 있는 한은, 광 빔이 프로세싱 모듈내에서 트래버스하게 하는 임의의 적합한 기술이 이용될 수도 있다. 추가로 또는 다른 방법으로, 반사율 센서가 여기에서의 예에서 레이저 이미터와 통합된 것으로 도시되지만, 센서가 반사율 불연속의 결정을 용이하게 하기 위해 충분한 충실도를 갖는 반사율 데이터를 감지할 수 있는 한은 임의의 원하는 위치에 센서를 탑재하는 것이 또한 가능하다.
도 7 은 본 발명의 실시형태에 따라, 프로세싱 모듈에서 컴포넌트 및/또는 오브젝트의 위치 및/또는 오프셋을 결정하는 인-시츄 광학 기술의 단계들의 단순화된 흐름도를 도시하다. 단계 702 에서, 레이저 어셈블리는 (척, 상부 전극, 단부 이펙터, 웨이퍼, 웨이퍼상의 영역 등과 같은) 관심 컴포넌트 및/또는 오브젝트를 스캔하기 위해 프로세싱 모듈 내부를 트래버스한다. 단계 704 에서, 레이저로부터의 반사율 데이터는 스캐닝이 발생할 때 센서에 의해 수신된다. 단계 706 에서, 반사율 데이터는 불연속에 대해 분석된다. 이들 불연속은 반사율 불연속이 검출될 때 레이저 이미터의 위치 정보와 상관된다 (단계 708). 그 후, 이들 불연속 상관 위치는 프로세싱 모듈에서의 다양한 컴포넌트 및/또는 오브젝트의 위치를 결정하기 위해 이용된다. 다른 방법으로는 또는 추가로, 이들 불연속 상관 위치는 프로세싱 모듈에서의 다양한 컴포넌트 및/또는 오브젝트 중의 상대적 위치 (즉, 오프셋) 를 결정하기 위해 이용될 수도 있다.
상술한 바로부터 이해할 수 있는 바와 같이, 본 발명의 실시형태들은 프로세싱 모듈에서의 컴포넌트 및 오브젝트의 위치 및/또는 오프셋의 인-시츄 결정을 용이하게 한다. 인-시츄 결정으로, 위치 및/또는 오프셋은 매우 빠르게 확인될 수도 있고, 이것은 로봇 팔을 제어하여 보상하고/하거나 정정하기 위해 피드백으로서 위치 및/또는 오프셋을 이용할 수 있게 한다. 또한, 광학 기술의 사용은 교정을 위한 종래 기술의 하드웨어 고정기의 사용과 관련된 손상 및 오염 문제를 제거한다. 또한, 측정을 수행하기 위해 프로세싱 모듈로부터 웨이퍼를 더이상 배출할 필요가 없기 때문에, 더 적은 시간이 소모된다. 또한, 위치 및 오프셋의 결정은 생산 동안 존재하는 조건과 동일한 프로세싱 모듈 조건하에서 수행될 수도 있어서, 실제 생산 환경에서의 결정 결과의 에러를 감소시키고 결정 결과의 유용성을 향상시킨다.
다양한 예들을 여기에 제공하였지만, 이들 예들이 예시적이고 본 발명과 관련하여 제한하지 않는다는 것이 의도된다. 또한, 제목 및 개요는 편의를 위해 여기에 제공되며, 여기에서의 청구범위의 범주를 해석하는 것으로 사용되지 않는다. 또한, 요약은 매우 간결한 형태로 기재되며, 편의를 위해 여기에 제공되며, 따라서, 청구범위에 표현되는 전체 발명을 해석하거나 제한하도록 이용되어서는 안된다. 용어 "세트" 가 여기에 이용되면, 이러한 용어는 제로, 하나, 또는 2개 이상의 멤버를 커버하기 위해 공통적으로 이해되는 수학적 의미를 갖는 것으로 의도된다. 또한, 본 발명의 방법 및 장치를 구현하는 다수의 변경 방식이 존재한다. 따라서, 아래의 첨부한 청구범위는 본 발명의 사상 및 범주내에 있는 것으로서 모든 이러한 변경물, 치환물, 및 등가물을 포함하는 것으로서 해석된다.

Claims (20)

  1. 적어도, 척과 상부 전극을 포함하는 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법으로서,
    제 1 복수의 데이터 세트를 생성하기 위해 제 1 복수의 경로를 따라 트래버싱 (traversing) 어셈블리를 이동시키는 단계로서, 상기 트래버싱 어셈블리는 적어도 광원을 포함하고, 상기 광원은 광 빔을 제공하며, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은, 상기 광 빔으로 하여금 상기 척을 트래버스하게 하고 상기 제 1 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키는, 상기 트래버싱 어셈블리를 이동시키는 단계;
    상기 제 1 복수의 데이터 세트를 수신하는 단계;
    적어도 3개의 불연속의 제 1 세트를 식별하기 위해 상기 제 1 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하는 단계로서, 상기 적어도 3개의 불연속의 제 1 세트는 상기 광 빔이 상기 척의 에지에 인카운터할 때 생성된 3개 이상의 반사된 광 신호들과 관련되는, 상기 제 1 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하는 단계;
    상기 적어도 3개의 불연속의 제 1 세트와 관련된 좌표 데이터를 사용하여 상기 척의 중심을 결정하는 단계;
    제 2 복수의 데이터 세트를 생성하기 위해 제 2 복수의 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 단계로서, 상기 제 2 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은, 상기 광 빔과 제 2 광 빔 중 적어도 하나로 하여금 상기 상부 전극을 트래버스하게 하고, 상기 제 2 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키는, 상기 트래버싱 어셈블리를 이동시키는 단계;
    상기 제 2 복수의 데이터 세트를 수신하는 단계;
    적어도 3개의 불연속의 제 2 세트를 식별하기 위해 상기 제 2 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하는 단계로서, 상기 적어도 3개의 불연속의 제 2 세트는 상기 상부 전극의 에지상의 3개 이상의 포인트를 나타내는, 상기 제 2 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하는 단계; 및
    상기 적어도 3개의 불연속의 제 2 세트와 관련된 좌표 데이터를 사용하여 상기 상부 전극의 중심을 결정하는 단계를 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  2. 제 1 항에 있어서,
    상기 적어도 3개의 불연속의 제 1 세트에 기초하여 3개 이상의 좌표 데이터 포인트의 제 1 세트를 결정하는 단계; 및
    상기 3개 이상의 좌표 데이터 포인트의 제 1 세트에 기초하여 상기 척의 절대 위치 및 상기 척의 상기 중심을 결정하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  3. 제 2 항에 있어서,
    상기 척의 상기 절대 위치와 상기 척의 예상 위치 사이의 오프셋을 확인하기 위해 상기 척의 상기 절대 위치 및 상기 척의 상기 예상 위치를 이용하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  4. 제 2 항에 있어서,
    상기 상부 전극과 상기 척 사이의 상대적 오프셋을 계산하기 위해 상기 척의 상기 절대 위치와 상기 상부 전극의 절대 위치를 이용하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 적어도 3개의 불연속의 제 2 세트에 기초하여 3개 이상의 좌표 데이터 포인트의 제 2 세트를 결정하는 단계; 및
    상기 3개 이상의 제 2 좌표 데이터 포인트에 기초하여 상기 상부 전극의 절대 위치와 상기 상부 전극의 상기 중심을 결정하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  7. 제 6 항에 있어서,
    상기 상부 전극의 상기 절대 위치와 상기 상부 전극의 예상 위치 사이의 오프셋을 확인하기 위해 상기 상부 전극의 상기 절대 위치 및 상기 상부 전극의 상기 예상 위치를 이용하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  8. 제 1 항에 있어서,
    소정의 위치에 광학 교정 고정기 (fixture) 를 포지셔닝하는 단계를 더 포함하며, 상기 제 1 복수의 경로는 상기 소정의 위치를 트래버스하도록 구성되고, 상기 광학 교정 고정기는 복수의 교정 영역을 가지고, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 광학 교정 고정기를 트래버스하게 하고 제 3 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며, 상기 제 3 복수의 데이터 세트는 상기 복수의 교정 영역의 각 교정 영역의 측정된 반사율 변화를 나타내는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  9. 제 1 항에 있어서,
    소정의 위치에 광학 교정 고정기 (fixture) 를 포지셔닝하는 단계를 더 포함하며, 상기 제 1 복수의 경로는 상기 소정의 위치를 트래버스하도록 구성되고, 상기 광학 교정 고정기는 복수의 교정 영역을 가지고, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 광학 교정 고정기를 트래버스하게 하고 제 3 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며, 상기 제 3 복수의 데이터 세트는 상기 복수의 교정 영역의 각 교정 영역의 측정된 반사율 변화를 나타내는, 플라즈마 프로세싱 시스템에서 위치들과 오프셋들을 결정하는 방법.
  10. 적어도 기판을 프로세싱하기 위해 플라즈마를 생성하는 플라즈마 프로세싱 시스템으로서,
    상기 기판을 지지하는 척;
    적어도 광원을 포함하는 트래버싱 어셈블리로서, 상기 광원은 광 빔을 제공하는, 상기 트래버싱 어셈블리;
    제 1 복수의 데이터 세트를 생성하기 위해 제 1 복수의 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 이동 메카니즘으로서, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 척을 트래버스하게 하고 상기 제 1 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키는, 상기 이동 메카니즘;
    상기 제 1 복수의 데이터 세트를 수신하는 센서;
    상기 광 빔이 상기 척의 에지에 인카운터할 때 생성된 3개 이상의 반사된 광 신호와 관련되는 적어도 3개의 불연속의 제 1 세트를 식별하기 위해 상기 제 1 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하며,
    상기 적어도 3개의 불연속의 제 1 세트와 관련된 좌표 데이터를 사용하여 상기 척의 중심을 결정하며,
    상기 적어도 3개의 불연속의 제 1 세트에 기초하여 3개 이상의 좌표 데이터 포인트의 제 1 세트를 결정하며,
    상기 3개 이상의 좌표 데이터 포인트의 제 1 세트에 기초하여 상기 척의 절대 위치와 상기 척의 상기 중심을 결정하는 것 중 적어도 하나를 위한 프로세싱 유닛; 및
    상기 플라즈마를 생성하기 위한 적어도 하나의 상부 전극을 포함하며,
    상기 이동 메카니즘은 제 2 복수의 데이터 세트를 생성하기 위해 제 2 복수의 경로를 따라 상기 트래버싱 어셈블리를 이동시키도록 더 구성되고, 상기 제 2 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔과 제 2 광 빔 중 적어도 하나로 하여금 상기 상부 전극을 트래버스하게 하고 상기 제 2 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며,
    상기 센서는 상기 제 2 복수의 데이터 세트를 수신하도록 또한 구성되며,
    상기 프로세싱 유닛은,
    상기 상부 전극의 에지상의 3개 이상의 포인트를 나타내는 적어도 3개의 불연속의 제 2 세트를 식별하기 위해 상기 제 2 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하며,
    상기 적어도 3개의 불연속의 제 2 세트와 관련된 좌표 데이터를 사용하여 상기 상부 전극의 중심을 결정하는 것 중 적어도 하나를 위해 또한 구성되는, 플라즈마 프로세싱 시스템.
  11. 삭제
  12. 제 10 항에 있어서,
    상기 프로세싱 유닛은, 상기 척의 상기 절대 위치와 상기 척의 예상 위치 사이의 오프셋을 확인하기 위해 상기 척의 상기 절대 위치 및 상기 척의 상기 예상 위치를 이용하도록 더 구성되는, 플라즈마 프로세싱 시스템.
  13. 제 10 항에 있어서,
    상기 프로세싱 유닛은, 상부 전극과 상기 척 사이의 상대적 오프셋을 계산하기 위해 상기 척의 상기 절대 위치 및 상기 상부 전극의 절대 위치를 이용하도록 더 구성되는, 플라즈마 프로세싱 시스템.
  14. 삭제
  15. 제 10 항에 있어서,
    상기 프로세싱 유닛은,
    상기 적어도 3개의 불연속의 제 2 세트에 기초하여 3개 이상의 좌표 데이터 포인트의 제 2 세트를 결정하며,
    상기 3개 이상의 제 2 좌표 데이터 포인트에 기초하여 상기 상부 전극의 상기 중심과 상기 상부 전극의 절대 위치를 결정하는 것 중 적어도 하나를 위해 또한 구성되는, 플라즈마 프로세싱 시스템.
  16. 제 15 항에 있어서,
    상기 프로세싱 유닛은, 상기 상부 전극의 상기 절대 위치와 상기 상부 전극의 예상 위치 사이의 오프셋을 확인하기 위해 상기 상부 전극의 상기 절대 위치 및 상기 상부 전극의 상기 예상 위치를 이용하도록 더 구성되는, 플라즈마 프로세싱 시스템.
  17. 제 10 항에 있어서,
    소정의 위치에 포지셔닝된 광학 교정 고정기를 더 포함하며, 상기 제 1 복수의 경로는 상기 소정의 위치를 트래버스하도록 구성되고, 상기 광학 교정 고정기는 복수의 교정 영역을 가지고, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 광학 교정 고정기를 트래버스하게 하고 제 3 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며, 상기 제 3 복수의 데이터 세트는 상기 복수의 교정 영역의 각 교정 영역의 측정된 반사율 변화를 나타내는, 플라즈마 프로세싱 시스템.
  18. 제 10 항에 있어서,
    소정의 위치에 포지셔닝된 광학 교정 고정기를 더 포함하며, 상기 제 1 복수의 경로는 상기 소정의 위치를 트래버스하도록 구성되고, 상기 광학 교정 고정기는 복수의 교정 영역을 가지고, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 광학 교정 고정기를 트래버스하게 하고 제 3 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며, 상기 제 3 복수의 데이터 세트는 상기 복수의 교정 영역의 각 교정 영역의 측정된 반사율 변화를 나타내는, 플라즈마 프로세싱 시스템.
  19. 적어도 기판을 프로세싱하기 위해 플라즈마를 생성하는 정렬 시스템으로서,
    상기 기판을 지지하는 척;
    적어도 광원을 포함하는 트래버싱 어셈블리로서, 상기 광원은 광 빔을 제공하는, 상기 트래버싱 어셈블리;
    제 1 복수의 데이터 세트를 생성하기 위해 제 1 복수의 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 이동 메카니즘으로서, 상기 제 1 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔으로 하여금 상기 척을 트래버스하게 하고 상기 제 1 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키는, 상기 이동 메카니즘;
    상기 제 1 복수의 데이터 세트를 수신하는 센서;
    상기 광 빔이 상기 척의 에지에 인카운터할 때 생성된 3개 이상의 반사된 광 신호와 관련되는 적어도 3개의 불연속의 제 1 세트를 식별하기 위해 상기 제 1 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하며,
    상기 적어도 3개의 불연속의 제 1 세트와 관련된 좌표 데이터를 사용하여 상기 척의 중심을 결정하는 것 중 적어도 하나를 위한 프로세싱 유닛; 및
    상기 플라즈마를 생성하기 위한 적어도 하나의 상부 전극을 포함하고,
    상기 이동 메카니즘은 제 2 복수의 데이터 세트를 생성하기 위해 제 2 복수의 경로를 따라 상기 트래버싱 어셈블리를 이동시키도록 더 구성되고, 상기 제 2 복수의 경로 중 각 경로를 따라 상기 트래버싱 어셈블리를 이동시키는 것은 상기 광 빔과 제 2 광 빔 중 적어도 하나로 하여금 상기 상부 전극을 트래버스하게 하고 상기 제 2 복수의 데이터 세트의 하나 이상의 데이터 세트를 발생시키며,
    상기 센서는 상기 제 2 복수의 데이터 세트를 수신하도록 또한 구성되며,
    상기 프로세싱 유닛은,
    상기 상부 전극의 에지상의 3개 이상의 포인트를 나타내는 적어도 3개의 불연속의 제 2 세트를 식별하기 위해 상기 제 2 복수의 데이터 세트의 상기 하나 이상의 데이터 세트를 분석하며,
    상기 적어도 3개의 불연속의 제 2 세트와 관련된 좌표 데이터를 사용하여 상기 상부 전극의 중심을 결정하는 것 중 적어도 하나를 위해 또한 구성되는, 정렬 시스템.
  20. 삭제
KR1020107015815A 2007-12-27 2008-12-19 위치 및 오프셋을 결정하는 장치 및 방법 KR101571180B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US1714807P 2007-12-27 2007-12-27
US61/017,148 2007-12-27

Publications (2)

Publication Number Publication Date
KR20100096248A KR20100096248A (ko) 2010-09-01
KR101571180B1 true KR101571180B1 (ko) 2015-11-23

Family

ID=40825026

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107015815A KR101571180B1 (ko) 2007-12-27 2008-12-19 위치 및 오프셋을 결정하는 장치 및 방법

Country Status (7)

Country Link
US (1) US8860955B2 (ko)
JP (1) JP5409649B2 (ko)
KR (1) KR101571180B1 (ko)
CN (1) CN101911277B (ko)
SG (1) SG195592A1 (ko)
TW (1) TWI475632B (ko)
WO (1) WO2009086042A2 (ko)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006098443A1 (ja) * 2005-03-17 2006-09-21 Hamamatsu Photonics K.K. 顕微鏡画像撮像装置
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8620064B2 (en) 2010-02-17 2013-12-31 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
US8698889B2 (en) 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US8452077B2 (en) * 2010-02-17 2013-05-28 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with correction of motion-induced distortion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN102798363A (zh) * 2012-08-10 2012-11-28 东莞劲胜精密组件股份有限公司 一种电极偏移量自动输出方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104078402B (zh) * 2014-06-30 2017-01-18 武汉新芯集成电路制造有限公司 一种机械手臂位置调整的辅助装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6918770B2 (ja) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9966292B2 (en) * 2016-07-12 2018-05-08 Globalfoundries Inc. Centering fixture for electrostatic chuck system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10867824B2 (en) 2018-05-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate detecting system in a substrate storage container
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
NL2023546A (en) 2018-08-23 2020-02-27 Asml Netherlands Bv Stage apparatus and method for calibrating an object loading process
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110538765B (zh) * 2019-08-05 2021-06-04 逸美德科技股份有限公司 获得点胶针头的基准坐标的方法、校正方法及校正装置
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112387540B (zh) * 2020-11-17 2021-12-10 四川庆达实业集团有限公司 一种热煨弯管3lpe涂覆的控制系统及其控制方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113394149A (zh) * 2021-06-30 2021-09-14 乐孜芯创自动化设备(上海)有限公司 具备位置检测功能的硅片传输片叉、机械手及传输方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153755B (zh) * 2023-10-26 2024-02-09 迈为技术(珠海)有限公司 一种晶圆对中方法、晶圆洗边方法以及晶圆对中机构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002540388A (ja) * 1999-03-22 2002-11-26 センシス インストルメンツ コーポレイション ウェーハ計測のための方法及び装置
JP2007324486A (ja) * 2006-06-02 2007-12-13 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) * 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4971443A (en) * 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
JPH0737967A (ja) * 1993-07-15 1995-02-07 Sony Corp ウエハの位置合わせ装置および位置合わせ方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5530548A (en) * 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) * 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
EP0837333A3 (en) * 1996-10-18 1999-06-09 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
WO1999002996A2 (en) 1997-07-11 1999-01-21 Genmark Automation Multiple point position scanning system
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
BE1011535A3 (nl) * 1997-11-05 1999-10-05 Framatome Connectors Belgium Werkwijze en inrichting voor het opmeten van de positie van een reeks contactpennen en voor het aanbrengen van deze reeks in een plaat met gedrukte schakelingen.
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) * 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) * 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP2001264015A (ja) * 2000-03-21 2001-09-26 Nikon Corp 位置検出方法及び位置検出装置並びに露光装置
JP4942129B2 (ja) 2000-04-07 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド GaAsウエハ用のウエハ方向センサー
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
JP4740405B2 (ja) * 2000-11-09 2011-08-03 東京エレクトロン株式会社 位置合わせ方法及びプログラム記録媒体
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US6747746B2 (en) * 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
AU2003301074A1 (en) 2002-12-20 2004-07-22 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP4501103B2 (ja) 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US20070177963A1 (en) * 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002540388A (ja) * 1999-03-22 2002-11-26 センシス インストルメンツ コーポレイション ウェーハ計測のための方法及び装置
JP2007324486A (ja) * 2006-06-02 2007-12-13 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Also Published As

Publication number Publication date
JP5409649B2 (ja) 2014-02-05
WO2009086042A3 (en) 2009-09-24
SG195592A1 (en) 2013-12-30
US20100277749A1 (en) 2010-11-04
WO2009086042A2 (en) 2009-07-09
KR20100096248A (ko) 2010-09-01
TW200943467A (en) 2009-10-16
CN101911277A (zh) 2010-12-08
US8860955B2 (en) 2014-10-14
JP2011508454A (ja) 2011-03-10
CN101911277B (zh) 2012-04-04
TWI475632B (zh) 2015-03-01

Similar Documents

Publication Publication Date Title
KR101571180B1 (ko) 위치 및 오프셋을 결정하는 장치 및 방법
US8954287B2 (en) Systems and methods for calibrating end effector alignment using at least a light source
JP5309157B2 (ja) プラズマ処理システムにおいてエンドエフェクタのアラインメントを校正するためのシステムおよび方法
US7522267B2 (en) Substrate transport apparatus with automated alignment
TWI397969B (zh) 具有迅速工件定中心功能的加工裝置
TWI457685B (zh) 用以定位及檢驗基底之補償校正方法及配置
JP5336513B2 (ja) 動的アラインメント・ビーム校正のためのシステムおよび方法
TWI431704B (zh) 用以定位基底之偏移校正技術
JP4668809B2 (ja) 表面検査装置
CN107924863B (zh) 搬送系统、搬送机器人及其教导方法
TW200416933A (en) System and method for on-the-fly eccentricity recognition
JP2011504290A (ja) ウエハー反り測定の配置構造及び反り測定方法
JP7326619B2 (ja) 自動教示エンクロージャシステム
US20220074869A1 (en) Integrated wafer bow measurements
JP4668023B2 (ja) ペースト塗布装置及びペースト塗布方法
KR102676848B1 (ko) 전기적 연속성을 사용하여 엔드 이펙터 평탄도 검증
US20030154002A1 (en) Method and apparatus for aligning a cassette handler
JP2001332604A (ja) 搬送位置ずれ検出機構
KR20130123952A (ko) 티칭 장치, 이를 구비하는 기판 처리 장치 및 티칭 방법
TW201743403A (zh) 控制用於夾持或定位佈置於一基板載體上之基板之一裝置之方法及系統

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181106

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191108

Year of fee payment: 5