CN101911277B - 确定位置和偏移的装置和方法 - Google Patents

确定位置和偏移的装置和方法 Download PDF

Info

Publication number
CN101911277B
CN101911277B CN200880124049.0A CN200880124049A CN101911277B CN 101911277 B CN101911277 B CN 101911277B CN 200880124049 A CN200880124049 A CN 200880124049A CN 101911277 B CN101911277 B CN 101911277B
Authority
CN
China
Prior art keywords
chuck
data set
upper electrode
group
interrupted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200880124049.0A
Other languages
English (en)
Other versions
CN101911277A (zh
Inventor
克里斯蒂娜·艾伦布兰切特
马特·罗德尼克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101911277A publication Critical patent/CN101911277A/zh
Application granted granted Critical
Publication of CN101911277B publication Critical patent/CN101911277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object

Abstract

提供一种确定等离子处理系统位置和偏移的方法,该等离子处理系统至少包括卡盘和上部电极。该方法包括沿第一多个路径移动遍历组件以生成第一多个数据集,该遍历组件至少包括光源,该光源提供光束,沿第一多个路径的每个路径移动该遍历组件使得该光束遍历该卡盘并得到该第一多个数据集的一个或多个数据集。该方法还包括接收该第一多个数据集和分析该第一多个数据集以识别出至少三个间断组成的第一组,其中该至少三个间断组成的第一组与该光束碰到该卡盘边缘该卡盘边缘时产生的三个或多个反射光信号相关。该方法还包括使用与该至少三个间断组成的第一组相关的坐标数据确定该卡盘的中心。

Description

确定位置和偏移的装置和方法
背景技术
在半导体基片(例如,晶片)的处理中,往往采用等离子。在等离子处理,使用等离子处理系统处理该晶片,其通常包括多个处理模块。该基片(例如,晶片)在等离子处理过程中设在处理模块内的卡盘上。
为了将晶片移进移出该处理模块,通常将晶片设在末端执行器上并传送到卡盘上。末端执行器是用于在晶片传送过程中支撑该晶片的结构部件。末端执行器通常设在机械臂上。图1示出代表性的现有末端执行器102,用以在晶片传送过程中支撑晶片104。为了说明目的,还示出机械臂106的一部分。
大体而言,在晶片传送顺序中,机械臂首先移动末端执行器以从晶片存储盒或台拿起该晶片。一旦将晶片设在末端执行器上,机械臂然后会移动晶片穿过处理模块中的门进入等离子处理模块,机械臂然后将末端执行器和晶片定位在卡盘上方,并将晶片放在卡盘上用以等离子处理。
为了确保正确地处理晶片(由此确保可控的和可重复的工艺结果),该晶片需要在等离子处理过程中设在卡盘中心。如果末端执行器正确地相对卡盘定心以及晶片正确地相对末端执行器定心,那么当机械臂将晶片放在卡盘上时,晶片将正确地相对卡盘定心。然而,由于许多原因,其中一些将在下面讨论,这个理想情况很少出现。
由于处理室的各个不同部件之间的机加工和/或制造公差,在给定的处理模块中,末端执行器形成的中心(这里称作该“末端执行器中心”或该“末端执行器限定的中心”)有可能相对该卡盘的中心稍微偏移。结果,末端执行器限定的中心有可能在机械臂位置上不正确地与卡盘的中心对齐,机器控制器认为该位置为正确的晶片设置位置。如果这个末端执行器/卡盘的不对齐没有在生产过程中弥补,则在晶片处理期间,晶片会不精确地相对卡盘中心设置。
为了弥补该末端执行器/卡盘不对齐,校准过程中的通常策略包括:将机械臂移到末端执行器限定的中心实际对齐该卡盘的中心的位置。为了完成末端执行器校准,操作者必须能够确定实际的末端执行器/卡盘对齐位置。现有技术中,该末端执行器限定的中心与该卡盘中心的对齐使用制造的机械固定装置来完成,该装置安装在卡盘的边缘上或连接到处理模块内部。该机械固定装置具有键结构(实质上是对该末端执行器的中心突出),其允许该末端执行器就搁在该校准固定装置的键结构正对面。由于该固定装置相对该卡盘定心,则当该末端执行器对着该固定装置的键结构设置时,该末端执行器将设在该卡盘的中心。通常,该末端执行器靠着该键结构定位通过操作者拉或推该末端执行器靠在该键结构上来完成,从而该末端执行器靠着该键结构。
在操作者已经将末端执行器靠着键结构定位之后,操作者将机械臂位置与机器控制系统对准,从而该机器控制系统可记录(在机器控制的坐标系统中)实现这个实际末端执行器/卡盘对齐的机械臂位置。
在制造过程中,机械臂将末端执行器移至与这个执行器/卡盘对齐位置相关的坐标。如果晶片设在相对该末端执行器的中心,则当晶片由机械臂设在卡盘上用以晶片处理时,末端执行器限定的中心现在实际上与卡盘中心对齐的情况将使得该晶片设在相对该卡盘的中心。
然而,现有技术中为了校准目的将末端执行器相对卡盘定心有一些缺点。首先,有许多种已知的卡盘和处理模块。所以,为了使用机械固定装置方法来进行校准,必须制造和储备许多不同的机械固定装置。并且,将实体的机械固定装置(其有一个或多个硬的金属边缘或表面)贴在卡盘上有可能损伤卡盘。另外,如果校准在处理模块中已经执行一些等离子循环之后实地进行(例如,因为担心末端执行器在后面的制造中可能没有设在相对该卡盘的中心),则将实体校准固定装置贴附于卡盘会导致在卡盘上或附近沉积颗粒,从而会剥落掉进处理室。在随后的处理循环中,这种颗粒形成颗粒污染物,这是不希望出现的。
另外,因为该校准是在大气压下进行的,所以现有的校准技术不能有效地复制制造过程中存在的条件。这是因为制造过程中,处理模块的部件设在真空下,使得一个或多个部件由于真空环境和周围大气的压差而出现偏移。由于该校准条件没有如实地复制制造条件,所以不可能进行准确的校准。
此外,如果手动将末端执行器定位在末端执行器/卡盘对齐位置(例如,包括操作者拉或推末端执行器以正对该机械固定装置的键结构设置),则当操作者放开机械臂并利用机器控制器对准这个末端执行器/卡盘对齐位置时,机械臂位置会有偏移。这个偏移出现的原因有许多,包括例如断开机器马达。当机械臂离开,尽管只是少到对于机器操作者察觉不到的量,但是这个偏移会导致校准工艺不精确。如果校准过程不准确,那么制造过程中会出现不准确的晶片位置,导致成品率降低,以及所制造的产品的报废和/或故障率增加。
前面的讨论关于该末端执行器和该卡盘之间可能的不对齐,以及其现有技术的解决方案。然而,即使该末端执行器限定的中心正确对齐该卡盘中心(或可做成实现正确对齐的效果),但是还有另一潜在的误差源,会导致在制造过程中晶片/卡盘不对齐。这就是,如果该末端执行器限定的中心没有正确地或始终如一的与多个晶片的中心对齐,则不同的生产晶片会设在该末端执行器上不同的位置,制造过程中仍然会出现晶片/卡盘不对齐。在这种情况下,尽管末端执行器中心与卡盘中心正确对齐,但是晶片/末端执行器不对齐会导致当末端执行器将晶片设在卡盘上用以处理时,晶片相对卡盘偏移。
同样,制造和装配公差问题也影响上部电极相对下部电极的对齐。例如,在一些制造等离子处理系统中,制造和装配公差会使得上部电极稍微偏移出该卡盘,导致不对称的等离子鞘,其影响等离子处理的可控制性。另一例子,一些等离子处理系统中,上部电极构造为可移动。久而久之,上部电极组件可出现“晃动”或超限公差,导致不利的上部电极/卡盘偏移。结果,等离子处理结果会变糟。
如可从前面所述看出的,在等离子处理模块中部件之间存在和/或久而久之出现各种不对齐问题。如所讨论的,如果这些不对齐问题使用外部工具或外部对齐固定装置来解决,可能导致对该处理模块部件的潜在损伤。进而,如果不对齐问题在处理模块环境之外解决,则会由于室条件的不同而出现误差(例如,对齐过程中存在的室条件与制造过程中存在的室条件的不同)。
又进一步,如果现有技术为了解决不对齐问题需要拖曳晶片进出处理模块,则只在对齐问题上就浪费了过多的时间。这些浪费的时间造成等离子处理工具的操作者更高的持有成本,这会转换成单位时间内较低的最终器件产量和/或更高的每单位器件成本。
发明内容
在一个实施方式中,本发明涉及一种在等离子处理系统中确定位置和偏移的方法,该等离子处理系统至少包括卡盘和上部电极。该方法包括沿第一多个路径移动遍历(traversing)组件以生成第一多个数据集,该遍历组件至少包括光源,该光源提供光束,沿第一多个路径的每个路径移动该遍历组件使得该光束遍历该卡盘并得到该第一多个数据集的一个或多个数据集。该方法还包括接收该第一多个数据集和分析该第一多个数据集以识别出至少三个间断组成的第一组,其中该至少三个间断组成的第一组与该光束碰到该卡盘边缘时产生的三个或多个反射光信号相关。该方法还包括使用与该至少三个间断组成的第一组相关的坐标数据确定该卡盘的中心。
上述概要只涉及这里所公开的本发明许多实施方式的一个并且不是为了限制本发明的范围,这里在权利要求中阐述该范围。本发明的这些和其他特征在下面对本发明的详细说明中结合附图更详细的描述。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1示出代表性的用于晶片传送过程中支撑晶片的现有技术末端执行器。
图2示出,按照本发明的实施方式,具有卡盘的处理模块中的原位光学测量设备俯视图。
图3示出,按照本发明的实施方式,与图2类似的情况,除了该视图是该上部电极的仰视图之外。
图4示出,按照本发明的实施方式,随着激光组件沿晶片的路径移动获取反射率间断数据的装置。
图5示出,按照本发明的实施方式,与图4类似的装置,除了该晶片放置在该卡盘上之外。
图6说明,按照本发明的实施方式,由两条轨迹确定偏移。
图7示出,按照本发明的实施方式,用于确定处理模块中的部件和/或物体的位置和/或偏移的原位光学技术步骤的说明性流程图。
具体实施方式
现在将根据其如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
这里描述了各种实施方式,包括方法和技术。应当记住,本发明还覆盖包括计算机可读介质的制造品,在该介质上存储有用于实施该创新性技术的实施方式的计算机可读指令。该计算机可读介质可包括,例如,半导体、光磁、光学或其他形式的用于存储计算机可读代码的计算机可读介质。进而,本发明还覆盖执行本发明的设备或系统。这种设备包括专用和/或可编程电路以执行与本发明实施方式有关的操作。这种设备的示例包括适当编程的通用目的计算机和/或专用计算装置,并且包括计算机/计算装置和适于与本发明实施方式有关的各种操作的专用/可编程电路的组合。
本发明的实施方式涉及原位光学技术,用以确定处理模块中部件和物体的位置和/或等离子处理模块中部件和物体之间的偏移(例如,不对齐)。通过确定处理模块部件之间、该末端执行器和/或该晶片的不对齐的存在和/或程度,可以开发出策略(如机械臂位置补偿或部件的重定位/重装配)以解决该不对齐。
与现有技术不同,本发明的实施方式能够原位并且以不会引入损害该处理模块部件的风险的方式测量各个不同处理模块部件之间(如该卡盘和该上部电极之间)的偏移。此外,本发明的实施方式能够原位测量该晶片之间和多个不同处理模块部件的偏移,可以就在晶片设在该卡盘上之前或之后,并且也是以不会潜在地损伤该晶片或该部件的方式进行。另外,本发明的实施方式能够以原位并且不会潜在地损伤该晶片或该部件的方式评估晶片处理准确性(如斜缘相对该晶片中心的工艺同心度)。又进一步,本发明的实施方式能够使用原位光学技术自校准以排除与使用硬件固定装置的大气条件校准有关的问题。
在本发明一个或多个实施方式中,该原位光学技术涉及随着光束遍历等离子处理模块内部、以光学方法测量反射率间断。随着该光束遍历该等离子处理模块内部的部件,进行反射率测量。当光束碰到一个反射率区域和另一反射率区域之间的过渡区域,测得的反射率变化。例如,保持与该卡盘上表面形成平面垂直的光束会以直线移动朝向该卡盘、跨过该卡盘的上表面和离开该卡盘。当该光束首先碰到该卡盘边缘,就有从一个反射率区域至另一反射率区域的过渡,且测得的该光束反射率会相应变化。
在这种情况下,记录和分析反射率的变化以识别出间断。在该光束完成其沿该卡盘的上表面的遍历并开始移动离开该卡盘之后,随着该光束从该卡盘的边缘过渡到周围区域,反射率区域中出现另一变化。在这种情况下,记录和分析反射率的变化以识别出另一间断。通过多次穿过该卡盘的上表面并记录出现间断的光束位置,就可以在光束的坐标系统中确定该卡盘的位置,包括该卡盘中心的位置。由圆周上三个或多个点确定一个圆是已知的数学运算,这里不再重复。
另一实施方式中,光束还可遍历该上部电极面向等离子的表面。又,可随着光束从该上部电极的外部过渡到该上部电极表面、然后再次离开该上部电极而获得反射率的间断。通过多次穿过该上部电极表面并记录间断出现的位置,就可以在光束的坐标系统中确定该上部电极的位置,包括该上部电极中心的位置。
在一个或多个实施方式中,该上部电极和该卡盘的位置(该位置通过分析关于该间断的数据获得)可用来计算该上部电极和该卡盘之间的相对偏移。由于该上部电极和该卡盘的位置都是在该光束的坐标系统中确定,所以可以对比它们的位置并获得相对偏移。该相对偏移值可产生有用的信息,其帮助现场工程师解决不希望出现的上部电极/卡盘偏移并更有效地诊断工艺问题。
在一个或多个实施方式中,如果该激光组件精确校准,则该卡盘的绝对位置(如由该反射率间断记录所确定)可与该卡盘的预期位置对比,并可确定任何偏离该预期位置的偏移。类似地,如果该激光组件精确校准,则该上部电极的绝对位置(如由该反射率间断记录所确定)可与该上部电极的预期位置对比,并可确定任何偏离该预期位置的偏移。又,这些偏移可帮助现场工程师确定该卡盘和/或该上部电极是否存在对齐问题。
在本发明一个或多个实施方式中,提供一种原位光学校准技术。在一个实施方式中,具有已知反射率的校准区域的光学校准固定装置沿该光束的路径设在预定的位置(例如,该卡盘的一侧上和/或该上部电极的一侧上)。例如,可提供具有反射率M%的第一校准区域的校准板(邻近反射率N%的第二校准区域设置),其中M%和N%表示已知反射率)。
随着该光束遍历这个光学校准固定装置,测得的反射率根据所碰到的校准区域而变化。通过对比该反射率记录与该校准区域的已知反射率,可校准该反射率记录传感器和/或该分析逻辑的精确度。进而,也可以校准负责检测间断的逻辑部分。额外地或者可选地,由于该光学校准固定装置可设在已知的、预定位置,所以该反射率间断的记录位置可与该反射率间断的预期位置对比。利用这个数据,在移动该光束和/或记录该光束位置中包含的系统的精确度也可以校准。
在本发明一个或多个实施方式中,该原位光学技术可用来测量该晶片的绝对位置或该晶片和该处理模块中其他部件(如该卡盘、该上部电极,甚至是该机械臂/执行器)之间的偏移。在一个实施方式中,保持垂直于该晶片的上表面形成的表面的光束可以直线移动朝向该晶片、跨过该晶片的上表面并远离该晶片。当该光束第一次碰到该晶片边缘,有从一个反射率区域到另一反射率区域的过渡,并且该光束的反射率相应变化。
在这种情况下,可记录和分析该反射率的变化以识别出间断。在该光束移动跨过该晶片的上表面并开始移动远离该晶片,随着该光束从该晶片的边缘过渡到周围区域,则又出现另一反射率区域中的变化。在这种情况下,可记录和分析反射率的变化以识别另一间断。通过多次穿过该晶片的上表面并记录出现间断的光束位置,就可以在该光束的坐标系统中确定该晶片的位置,包括该晶片中心的位置。
进而,如果该晶片设在该卡盘上方,或者在放置晶片之前在该末端执行器上,或如果该晶片位于该卡盘本身上,该晶片的边缘和该卡盘的边缘产生光束反射率间断。通过记录间隔出现的光束位置,可以确定在一个或多个穿过之后,该晶片是否相对该卡盘同心设置。如果也使用光束以之前讨论的方式遍历该上部电极来确定该上部电极的位置,那么还可以确定该晶片和该上部电极之间是否存在偏移以及这种偏移的程度。
如果该光束路径遍历该机械臂和/或该末端执行器,就可以推测或曲线拟合以从该反射率间断数据找到该末端执行器的中心或该机械臂的位置。这个信息还可用来对齐该机械臂和/或该末端执行器以优化晶片传输。
在本发明一个或多个实施方式中,该光学原位技术还可用来评估该斜面蚀刻工艺的效率。为了详细说明,晶片通常具有至少两个区域:晶片形成区域,其占据该晶片的大部分中心区域,以及“环形”或“斜面”区域,其存在于该晶片的外部边缘,这里一般而言不会形成器件。注意非常希望最大化任何给定上晶片上的晶片形成区域以最大化器件产量,然而仍然存在环形或斜面区域并且通常不用来形成器件,因为各种工艺一致性和其他原因。
尽管该斜面区域不用于器件形成,但是某些步骤会导致该斜面区域中非计划的和偶然的处理(例如,沉积)。如果听任之不去除,该斜面区域中这个计划外的沉积会例如影响随后蚀刻步骤中的处理结果。因而,斜面蚀刻往往用来在一个或多个随后的处理步骤之前从该斜面区域去除或“清洁”材料。
由于该斜面区域往往紧邻和围绕该器件形成区域,那么设计用来从该斜面区域(而不是该器件形成区域)去除材料的斜面蚀刻的精确度是关键的。如果该蚀刻不精确,就会意外地从器件形成区域去除材料,导致最终产品的缺陷。
在一个或多个实施方式中,使用原位光学技术确定该斜面蚀刻的精确性。在一个实施方式中,保持垂直于该晶片的上表面形成的表面的光束可以直线移动朝向该晶片、跨过该晶片的上表面并远离该晶片。当该光束第一次碰到该晶片边缘,有从一个反射率区域到另一反射率区域的过渡,该光束的反射率相应变化。
在这种情况下,可记录和分析该反射率的变化以识别出间断。在该光束移动跨过该晶片斜面区域之后,该光束碰到该器件形成区域的起点。这时,有从一个反射率区域到又一反射率区域的过渡,并且该光束的反射率相应变化。可记录和分析反射率的变化以识别出另一间断。
随着该光束继续在该器件形成区域上方遍历该晶片的表面,该光束接近该晶片的另一侧。首先,该光束将从该器件形成区域过渡回到该斜面区域。碰到另一反射率间断并为此记录该光束位置。最后,在该光束遍历跨过该斜面区域之后,该光束碰到该晶片边缘并碰到另一反射率间断。
通过多次穿过该晶片,可以推测该器件形成区域和该斜面区域,并确定该斜面区域是否相对该晶片同心。如果在该晶片的中心和斜面区域的同心“环”的中心之间有偏移,这个偏移会暗示该斜面蚀刻的精确性问题,并且该原位数据可用作解决该蚀刻精确性问题的反馈。
可参照下面的附图和讨论更好地理解本发明的特征和优点。图2示出,按照本发明的实施方式,具有卡盘204的处理模块202中的原位光学测量设备的俯视图。在这个示例,该原位光学测量设备包括激光遍历组件,其包括遍历杆208和激光组件210。如所示,遍历杆208构造为在X方向、在卡盘表面上方以恒定速度(对于不同的应用可变化)移动。激光组件210构造为沿Y方向骑在遍历杆208上,并代表集成的激光和传感器组件,构造为在垂直于卡盘204的顶部表面形成的平面方向发出光束。随着激光组件210沿图2的示例中的路径220、222和224遍历,该集成的传感器记录来自该光束的反射率数据。
图2还示出轨迹250,表示随着激光组件210沿路径224遍历,由激光组件210的传感器获得的反射率测量值。路径224选择为简化该原位光学测量系统的说明,不是必然表示实际测量中采用的路径。例如,在某些情况下,认为路径220和222更适合于获取需要的测量数据。
在图2的示例中,该卡盘是多层的,随着该光束沿路径224遍历,该反射率测量在轨迹250中示出。例如,当该光束碰到卡盘204的边缘上的位置252,在轨迹250中可以看到间断254。当该光束碰到卡盘204的边缘上的位置256,轨迹250中可以看到另一间断258。在每个检测到反射率间断的时刻记录激光发射器的当前位置(其位置通过例如用于移动遍历杆208和激光组件210的马达的步进电机编码器值来确定)。
随着激光组件210沿路径224遍历,当该光束到达该卡盘相对的一侧,可以在轨迹250中看到类似的间断。又,为这些间断记录该激光发射器的当前位置。由于在激光遍历组件坐标系统中记录这些反射率间断位置,所以使用这些位置的坐标来校准路径224穿过位置252和256以及位置260和262的位置是可能的。如果遍历多个路径(例如,一个或多个路径220或222),可在该激光遍历组件坐标系统中获得足够的与间断有关的位置数据点以允许进行对表示该卡盘以及该卡盘中心的圆的校准。
图2中,还示出光学校准固定装置270。光学校准组件至少包括两个具有已知反射率的校准区域272a和272b。当该光束击中这些校准区域,所记录的反射率数据(由轨迹250上的参考标号274示出)可用来校准感应反射率的传感器。可选地或额外地,校准固定装置270可设在该处理模块中已知的位置。在碰到该反射率间断时可以获得该激光发射器的坐标。这些坐标可与该光学校准固定装置270的已知位置对比,以校准该激光遍历组件的马达控制器和/或定位感应逻辑。
图3示出,按照本发明的实施方式,类似的情况,除了该视图是该上部电极的仰视图。又,该激光遍历组件允许该遍历杆在X方向移动,而包括该激光发射器和该反射率传感器两者的激光组件在Y方向移动。轨迹302表示该激光遍历组件沿路径304遍历时的间断数据。
图4示出,按照本发明的实施方式,随着该激光组件沿路径302遍历跨过晶片404,获取反射率间断数据的装置,该晶片搁在末端执行器406上。图4中,晶片404设在卡盘408上方。该反射率间断在轨迹410中表示,如所示。通过记录在碰到反射率间断处的该激光发射器位置,可在进行若干遍历路径后获得足够的数据,以便推测表示该晶片和该卡盘的圆。然后可以检查这些所预测的圆以确定在该晶片和该卡盘之间是否有偏移,以及这种偏移的程度。
参照图4,如果没有该晶片,如果需要,也可以获得反射率间断数据以推测该末端执行器本身或相对该卡盘的位置和/或中心。或者,该激光遍历路径可配置为穿过该机械臂和/或该末端执行器的一些可识别部分,即使该晶片位于该末端执行器上。该光束可从该末端执行器的下方或上方发出。如果该机械臂的形状和该末端执行器在该机械臂上的位置已知,则可从该反射率间断数据预测该末端执行器自身或相对该卡盘的中心,以便确定是否存在任何过分的偏移。
图5示出,按照本发明的实施方式,类似的装置,除了该晶片设在该卡盘上以外。又,该激光遍历组件允许该遍历杆在X方向移动,而包括该激光发射器和该反射率传感器两者的激光组件在Y方向移动。轨迹502示出该激光遍历组件沿路径504遍历的间断数据。又,通过记录碰到反射率间断处的该激光发射器位置,可在进行若干遍历路径后获得足够的数据以便于预测表示该晶片和该卡盘的圆。然后可检查这些预测的圆以确定在该晶片设在该卡盘之后该晶片和该卡盘之后是否有偏移,以及这种偏移的程度。计算出的偏移可为随后的晶片传送弥补,例如,通过将该机械臂移动一定距离来对应所计算的偏移,从而当后续晶片设在该卡盘上时,可消除该不对齐。
在一个或多个实施方式中,可执行轨迹对比以获得相对位置信息。例如,通过对比轨迹302(通过扫描图3中该上部电极获得)与轨迹250(通过扫描图2中的卡盘获得),可以确定该卡盘和该上部电极之间是否存在偏移。为了进行这个对比,轨迹250和轨迹302表示该激光组件遍历该处理模块中相同的路径时获得的反射率数据。图6示出这个示例,其中对比轨迹302和轨迹250得到轨迹602。在图6的示例中,这两个轨迹彼此相减,并获得脉冲608和610。如果该卡盘从该上部电极偏移,则脉冲608和610的宽度不同,暗示该卡盘和该上部电极非同心度的程度。
可在任何一对轨迹之间进行类似的对比以获得该处理模块部件(例如,卡盘、机械臂、末端执行器、上部电极等)和/或该晶片和/或该晶片上的器件形成区域和/或该晶片上的斜面区域的相对定位。例如,可以确定下面的相对位置:该晶片相对该卡盘,该卡盘相对该上部电极,该上部电极相对该晶片,该末端执行器相对该卡盘,该末端执行器相对该上部电极,该晶片上器件形成区域相对该晶片边缘,该晶片上斜面区域相对该器件形成区域,该器件形成区域相对该卡盘,该器件形成区域相对该上部电极,该斜面区域相对该卡盘,该斜面区域相对该上部电极等。
尽管这里的例子示出独立于该末端执行器安装的该激光组件,但是也可以将该激光组件安装在该末端执行器上,用以在该处理模块内遍历。进而,尽管该激光组件示为在X和Y方向线性平移,但是也可以转动移动该激光组件,并记录转动角以及该激光组件沿给定转动矢量的位置。
此外,尽管该激光组件示为通过机械平移装置移动,但是可以光学方法操作该光束,如通过使用棱镜。只要能在某些参照坐标系统中获得反射率间断的位置,可以采用任何合适的、使该光束在该处理模块遍历的技术。额外地或可选地,尽管在这里的示例中,该反射率传感器示为与该激光发射器集成,但是也可以将该传感器安装在任何希望的位置,只要该传感器能够以足够的准确性感应反射率数据以便于确定反射率间断。
图7示出,按照本发明的实施方式,用于确定处理模块中部件和物体的位置和/或偏移的原位光学技术的简化步骤流程。步骤702中,该激光组件在该处理模块内部遍历以扫描需要的部件和物体(如该卡盘、该上部电极、该末端执行器、该晶片,该晶片上的区域等)。步骤704中,随着扫描进行,该传感器接收来自该激光的反射率数据。步骤706中,为间断分析该反射率数据。这些间断与检测到该反射率间断时该激光发射器的位置信息有关(步骤708)。然后利用这些与间断有关的位置来确定该处理模块中多个不同部件和/或物体的位置。可选地或额外地,这些与间断有关的位置可用来确定该处理模块中各个不同部件和/或物体之间的相对位置(即,偏移)。
如从前面所述可以认识到的,本发明的实施方式便于原位确定处理模块中部件和物体的位置和/或偏移。利用原位确定,可十分快速地确定该位置和/或偏移,致使可以将该位置和/或偏移用作反馈以控制该机械臂从而补偿和/或修正。此外,使用光学技术避免与现有技术中使用硬件固定装置用于校准相关的损伤和污染问题。另外,由于不再需要将该晶片从该处理模块去除以执行该测量,所以浪费更少的时间。又进一步,位置和偏移的确定可在与生产过程中存在的条件相同的处理模块条件下执行,由此降低错误并提高在实际生产环境中该确定结果的可用性。
尽管这里提供各种不同示例,但是意图是这些示例是说明性的而非对本发明的限制。并且,这里为了方便提供标题和概要,但不应当用来解释这里的权利要求的范围。进而,摘要是以高度概括的形式撰写的并且在这里为了方便而提供,因此不应当用来解释或者限制在权利要求中表述的总的发明。如果这里使用了术语“组”,这种术语意图是具有数学意义上的一般理解,涵盖零个、一个或多于一个元素。还应当注意,有许多实现本发明方法和设备的方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。

Claims (20)

1.一种确定等离子处理系统中位置和偏移的方法,所述等离子处理系统至少包括卡盘和上部电极,所述方法包括:
沿第一多个路径移动遍历组件以生成第一多个数据集,所述遍历组件至少包括光源,所述光源提供光束,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述卡盘并得到所述第一多个数据集的一个或多个数据集;
接收所述第一多个数据集;
分析所述第一多个数据集以识别出至少三个间断组成的第一组,其中所述至少三个间断组成的第一组与当所述光束碰到所述卡盘的边缘生成的三个或多个反射光信号相关;以及
使用与所述至少三个间断组成的第一组关联的坐标数据确定所述卡盘的中心。
2.根据权利要求1所述的方法,进一步包括:
基于所述至少三个间断组成的第一组确定三个或多个坐标数据点组成的第一组;
基于所述三个或多个坐标数据点组成的第一组确定所述卡盘的所述中心和所述卡盘的绝对位置。
3.根据权利要求2所述的方法,进一步包括应用所述卡盘的所述绝对位置和所述卡盘的预期位置来确定所述卡盘的所述绝对位置和所述卡盘的所述预期位置之间的偏移。
4.根据权利要求2所述的方法,进一步包括利用所述卡盘的所述绝对位置和上部电极的绝对位置计算所述上部电极和所述卡盘之间的相对偏移。
5.根据权利要求1所述的方法,进一步包括
沿第二多个路径移动所述遍历组件以生成第二多个数据集,沿所述第二多个路径的每个路径移动所述遍历组件使得所述光束和第二光束的至少一个遍历所述上部电极并得到所述第二多个数据集的一个或多个数据集,
接收所述第二多个数据集;
分析所述第二多个数据集以识别至少三个间断组成的第二组,所述至少三个间断组成的第二组表示所述上部电极的所述边缘上三个或多个点,和
使用与所述至少三个间断组成的第二组关联的坐标数据确定所述上部电极的中心。
6.根据权利要求5所述的方法,进一步包括:
基于所述至少三个间断组成的第二组确定三个或多个坐标数据点组成的第二组;
基于所述三个或多个坐标数据点组成的第二组确定所述上部电极的所述中心和所述上部电极的绝对位置。
7.根据权利要求6所述的方法,进一步包括利用所述上部电极的所述绝对位置和所述上部电极的预期位置以确定所述上部电极的所述绝对位置和所述所述上部电极的预期位置之间的偏移。
8.根据权利要求5所述的方法,进一步包括将光学校准固定装置设在预定的位置,所述第一多个路径构造为遍历所述预定的位置,所述光学校准固定装置具有多个校准区域,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述光学校准固定装置并得到第三多个数据集的一个或多个数据集,所述第三多个数据集表示所述多个校准区域的每个校准区域的测得反射率变化。
9.根据权利要求1所述的方法,进一步包括将光学校准固定装置设在预定的位置,所述第一多个路径构造为遍历所述预定的位置,所述光学校准固定装置具有多个校准区域,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述光学校准固定装置并得到第三多个数据集的一个或多个数据集,所述第三多个数据集表示所述多个校准区域的每个校准区域的测得反射率变化。
10.一种生成等离子以至少处理基片的等离子处理系统,所述系统包括:
卡盘,用于支撑所述基片;
至少包括光源的遍历组件,所述光源提供光束;
移动机构,用于沿第一多个路径移动所述遍历组件以生成第一多个数据集,所述遍历组件,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述卡盘并得到所述第一多个数据集的一个或多个数据集;
传感器,用于接收所述第一多个数据集;
处理单元,用于下列的至少一个:
分析所述第一多个数据集以识别出至少三个间断组成的第一组,其中所述至少三个间断组成的第一组与当所述光束碰到所述卡盘的边缘时生成的三个或多个反射光信号相关,和
使用与所述至少三个间断组成的第一组关联的坐标数据确定所述卡盘的中心。
11.根据权利要求10所述的系统,进一步包括;
所述处理单元还构造成用于下列的至少一个:
基于所述至少三个间断组成的第一组确定三个或多个坐标数据点组成的第一组;
基于所述三个或多个坐标数据点组成的第一组确定所述卡盘的所述中心和所述卡盘的绝对位置。
12.根据权利要求11所述的系统,其中该处理单元进一步构造为利用所述卡盘的所述绝对位置和所述卡盘的预期位置来确定所述卡盘的所述绝对位置和所述卡盘的所述预期位置之间的偏移。
13.根据权利要求11所述的系统,其中所述处理单元进一步构造为利用所述卡盘的所述绝对位置和上部电极的绝对位置计算所述上部电极和所述卡盘之间的相对偏移。
14.根据权利要求11所述的系统,进一步至少包括用于生成所述等离子的上部电极,其中
所述移动机构进一步构造为沿第二多个路径移动所述遍历组件以生成第二多个数据集,沿所述第二多个路径的每个路径移动所述遍历组件使得所述光束和第二光束的至少一个遍历所述上部电极并得到所述第二多个数据集的一个或多个数据集,
所述传感器还构造为接收所述第二多个数据集;
所述处理单元还构造为用于下列的至少一个
分析所述第二多个数据集以识别至少三个间断组成的第二组,所述至少三个间断组成的第二组表示所述上部电极的所述边缘上三个或多个点,和
使用与所述至少三个间断组成的第二组关联的坐标数据确定所述上部电极的中心。
15.根据权利要求14所述的系统,进一步包括:
所述处理单元还构造为用于下列的至少一个
基于所述至少三个间断组成的第二组确定三个或多个坐标数据点组成的第二组;
基于所述三个或多个坐标数据点组成的第二组确定所述上部电极的所述中心和所述上部电极的绝对位置。
16.根据权利要求15所述的系统,其中所述处理单元进一步构造为利用所述上部电极的所述绝对位置和所述上部电极的预期位置来确定所述上部电极的所述绝对位置和所述上部电极的所述预期位置之间的偏移。
17.根据权利要求14所述的系统,进一步包括设在预定的位置的光学校准固定装置,所述第一多个路径构造为遍历所述预定的位置,所述光学校准固定装置具有多个校准区域,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述光学校准固定装置并得到第三多个数据集的一个或多个数据集,所述第三多个数据集表示所述多个校准区域的每个校准区域的测得反射率变化。
18.根据权利要求11所述的系统,进一步包括设在预定的位置的光学校准固定装置,所述第一多个路径构造为遍历所述预定的位置,所述光学校准固定装置具有多个校准区域,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述光学校准固定装置并得到第三多个数据集的一个或多个数据集,所述第三多个数据集表示所述多个校准区域的每个校准区域的测得反射率变化。
19.一种生成等离子以至少处理基片的对准系统,所述系统包括;
卡盘,用于支撑所述基片;
遍历组件,至少包括光源,所述光源提供光束;
移动机构,用于沿第一多个路径移动所述遍历组件以生成第一多个数据集,所述遍历组件,沿所述第一多个路径的每个路径移动所述遍历组件使得所述光束遍历所述卡盘并得到所述第一多个数据集的一个或多个数据集;
传感器,用于接收所述第一多个数据集;
处理单元,用于下列的至少一个
分析所述第一多个数据集以识别出至少三个间断组成的第一组,其中所述至少三个间断组成的第一组与当所述光束碰到所述卡盘的边缘时生成的三个或多个反射光信号相关,和
使用与所述至少三个间断组成的第一组关联的坐标数据确定所述卡盘的中心。
20.根据权利要求19所述的对准系统,进一步至少包括用于生成所述等离子的上部电极,其中
所述移动机构进一步构造为沿第二多个路径移动所述遍历组件以生成第二多个数据集,沿所述第二多个路径的每个路径移动所述遍历组件使得所述光束和第二光束的至少一个遍历所述上部电极并得到所述第二多个数据集的一个或多个数据集,
所述传感器还构造为接收所述第二多个数据集;
所述处理单元还构造为用于下列的至少一个
分析所述第二多个数据集以识别至少三个间断组成的第二组,所述至少三个间断组成的第二组表示所述上部电极的所述边缘上三个或多个点,和
使用与所述至少三个间断组成的第二组关联的坐标数据确定所述上部电极的中心。
CN200880124049.0A 2007-12-27 2008-12-19 确定位置和偏移的装置和方法 Active CN101911277B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1714807P 2007-12-27 2007-12-27
US61/017,148 2007-12-27
PCT/US2008/087578 WO2009086042A2 (en) 2007-12-27 2008-12-19 Arrangements and methods for determining positions and offsets

Publications (2)

Publication Number Publication Date
CN101911277A CN101911277A (zh) 2010-12-08
CN101911277B true CN101911277B (zh) 2012-04-04

Family

ID=40825026

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880124049.0A Active CN101911277B (zh) 2007-12-27 2008-12-19 确定位置和偏移的装置和方法

Country Status (7)

Country Link
US (1) US8860955B2 (zh)
JP (1) JP5409649B2 (zh)
KR (1) KR101571180B1 (zh)
CN (1) CN101911277B (zh)
SG (1) SG195592A1 (zh)
TW (1) TWI475632B (zh)
WO (1) WO2009086042A2 (zh)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4871264B2 (ja) * 2005-03-17 2012-02-08 浜松ホトニクス株式会社 顕微鏡画像撮像装置
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8698889B2 (en) 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US8452077B2 (en) * 2010-02-17 2013-05-28 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with correction of motion-induced distortion
US8620064B2 (en) * 2010-02-17 2013-12-31 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN102798363A (zh) * 2012-08-10 2012-11-28 东莞劲胜精密组件股份有限公司 一种电极偏移量自动输出方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104078402B (zh) * 2014-06-30 2017-01-18 武汉新芯集成电路制造有限公司 一种机械手臂位置调整的辅助装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9966292B2 (en) * 2016-07-12 2018-05-08 Globalfoundries Inc. Centering fixture for electrostatic chuck system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10867824B2 (en) * 2018-05-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate detecting system in a substrate storage container
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112585539A (zh) 2018-08-23 2021-03-30 Asml荷兰有限公司 用于校准物体装载过程的平台设备和方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110538765B (zh) * 2019-08-05 2021-06-04 逸美德科技股份有限公司 获得点胶针头的基准坐标的方法、校正方法及校正装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112387540B (zh) * 2020-11-17 2021-12-10 四川庆达实业集团有限公司 一种热煨弯管3lpe涂覆的控制系统及其控制方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113394149A (zh) * 2021-06-30 2021-09-14 乐孜芯创自动化设备(上海)有限公司 具备位置检测功能的硅片传输片叉、机械手及传输方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153755B (zh) * 2023-10-26 2024-02-09 迈为技术(珠海)有限公司 一种晶圆对中方法、晶圆洗边方法以及晶圆对中机构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1617319A (zh) * 2003-11-14 2005-05-18 台湾积体电路制造股份有限公司 晶片基座
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070177963A1 (en) * 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) * 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4971443A (en) * 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
JPH0737967A (ja) * 1993-07-15 1995-02-07 Sony Corp ウエハの位置合わせ装置および位置合わせ方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5530548A (en) * 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) * 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5999268A (en) * 1996-10-18 1999-12-07 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
WO1999002996A2 (en) 1997-07-11 1999-01-21 Genmark Automation Multiple point position scanning system
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
BE1011535A3 (nl) * 1997-11-05 1999-10-05 Framatome Connectors Belgium Werkwijze en inrichting voor het opmeten van de positie van een reeks contactpennen en voor het aanbrengen van deze reeks in een plaat met gedrukte schakelingen.
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
AU4175800A (en) * 1999-03-22 2000-10-09 Sensys Instruments Corporation Method and apparatus for wafer metrology
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) * 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) * 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP2001264015A (ja) * 2000-03-21 2001-09-26 Nikon Corp 位置検出方法及び位置検出装置並びに露光装置
WO2001078114A1 (en) 2000-04-07 2001-10-18 Varian Semiconductor Equipment Associates, Inc. WAFER ORIENTATION SENSOR FOR GaAs WAFERS
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
JP4740405B2 (ja) * 2000-11-09 2011-08-03 東京エレクトロン株式会社 位置合わせ方法及びプログラム記録媒体
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US6747746B2 (en) * 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
AU2003301074A1 (en) 2002-12-20 2004-07-22 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP4501103B2 (ja) 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
JP4887913B2 (ja) * 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1617319A (zh) * 2003-11-14 2005-05-18 台湾积体电路制造股份有限公司 晶片基座
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070177963A1 (en) * 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer

Also Published As

Publication number Publication date
CN101911277A (zh) 2010-12-08
KR101571180B1 (ko) 2015-11-23
KR20100096248A (ko) 2010-09-01
JP5409649B2 (ja) 2014-02-05
TWI475632B (zh) 2015-03-01
SG195592A1 (en) 2013-12-30
JP2011508454A (ja) 2011-03-10
US8860955B2 (en) 2014-10-14
WO2009086042A3 (en) 2009-09-24
US20100277749A1 (en) 2010-11-04
WO2009086042A2 (en) 2009-07-09
TW200943467A (en) 2009-10-16

Similar Documents

Publication Publication Date Title
CN101911277B (zh) 确定位置和偏移的装置和方法
US7522267B2 (en) Substrate transport apparatus with automated alignment
US5822213A (en) Method and apparatus for determining the center and orientation of a wafer-like object
JP5417343B2 (ja) 少なくとも1つの光源を使用してエンドエフェクタ・アラインメントを校正するためのシステムおよび方法
JP4961895B2 (ja) ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
US7880155B2 (en) Substrate alignment apparatus comprising a controller to measure alignment during transport
TW200416933A (en) System and method for on-the-fly eccentricity recognition
JPWO2016178300A1 (ja) ロボットの教示方法及びロボット
JP4064361B2 (ja) 搬送装置の搬送位置の位置情報取得方法
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
CN111370346A (zh) 晶圆翘曲度测量装置及方法
KR20210125067A (ko) 관통 빔 자동 티칭
JP2015168012A (ja) 教示ジグ、教示システムおよび教示方法
JP2007227936A (ja) 基板を受け取るおよび/または輸送する方法および装置
CN104752295A (zh) 位置监测装置、等离子体加工设备及工件的装卸方法
KR101854177B1 (ko) 부품에 대한 가공 기구 위치 정렬 장치 및 방법
CN103869627A (zh) 用于投影光刻机的调焦调平方法
JPH11150172A (ja) 搬送装置
JP2009166163A (ja) 自動教示システム
CN112959323B (zh) 一种机器人运动误差在位检测与补偿方法及设备
JP2006138698A (ja) 三次元測定方法及び装置
JP3029572B2 (ja) 被測定体の断面輪郭形状測定方法及び3次元形状測定方法
CN102193321B (zh) 工件台的垂向控制方法及其控制回路
JPH10156773A (ja) 移載機用ティーチングデータの取得方法
JP5112212B2 (ja) 変位測定装置および変位測定方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant