TWI475632B - 用以決定位置及偏移之設備與方法 - Google Patents

用以決定位置及偏移之設備與方法 Download PDF

Info

Publication number
TWI475632B
TWI475632B TW097151045A TW97151045A TWI475632B TW I475632 B TWI475632 B TW I475632B TW 097151045 A TW097151045 A TW 097151045A TW 97151045 A TW97151045 A TW 97151045A TW I475632 B TWI475632 B TW I475632B
Authority
TW
Taiwan
Prior art keywords
wafer holder
upper electrode
data sets
group
discontinuities
Prior art date
Application number
TW097151045A
Other languages
English (en)
Other versions
TW200943467A (en
Inventor
Matt Rodnick
Christine Allen-Blanchette
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200943467A publication Critical patent/TW200943467A/zh
Application granted granted Critical
Publication of TWI475632B publication Critical patent/TWI475632B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Drying Of Semiconductors (AREA)

Description

用以決定位置及偏移之設備與方法
本發明係關於一種於一電漿處理系統中用以決定位置及偏移的設備與方法。
電漿經常被使用在半導體基板(例如:晶圓)的處理中。在電漿處理中,係利用典型地包含複數之處理模組之電漿處理系統來處理晶圓。電漿處理期間,將基板(例如:晶圓)裝設於處理模組內部的晶圓座上。
為了將晶圓移進及移出該處理模組,通常將該晶圓放置在一末端效應器上並傳送到該晶圓座上。該末端效應器為在晶圓傳送期間,用於支撐該晶圓的一結構元件。通常將該末端效應器裝設於機械手臂上。圖1顯示,在晶圓傳送期間用於支撐一晶圓104的一代表性習知技藝之末端效應器102。為了說明之目的,也顯示機械手臂106的一部分。
一般來說,在一晶圓傳送過程期間,該機械手臂首先移動末端效應器,以從晶圓儲藏卡匣或晶圓儲藏站拿起晶圓。一旦將晶圓安置於末端效應器上後,於處理模組中,該機械手臂將該晶圓經過電漿處理模組中的一道門而移入電漿處理模組。機械手臂之後將該末端效應器和該晶圓安置於晶圓座之上,而後將該晶圓放在該晶圓座上,以進行電漿處理。
為了確保該晶圓受到恰當的處理(由此確保可控制與可重複的處理結果),在電漿處理期間,需要將該晶圓定位在晶圓座的中心上。如果末端效應器相對於晶圓座正確地定位於中心,並且晶圓相對於該末端效應器正確地定位於中心,則當機械手臂放置該晶圓於該晶圓座上時,該晶圓將相對於晶圓座正確地定位於中心。然而,有許多原因,致使此一理想情景很少是實際情形,其中的一些原因將於以下討論。
由於處理室之各式各樣元件之間的機械加工及/或製造公差,在一給予的處理模組中,以末端效應器定義之中心(此處被稱為『末端效應器中心』或『末端效應器定義中心』)相對於該晶圓座之中心可能稍有偏移。其結果,在機械人控制器認為對於晶圓佈置為正確位置的機械手臂位置,末端效應器定義中心可能無法正確地對準於晶圓座之中心。如果於製造時未補正此末端效應器/晶圓座的不當對準,則於晶圓處理過程,晶圓可能相對晶圓座中心的位置不精確地配置。
為了補正末端效應器/晶圓座的不當對準,在校正中典型的策略包含將機械手臂移動至末端效應器定義中心實際對準晶圓座中心之位置。為了完成末端效應器的校正,操作者必須能夠確定實際的末端效應器/晶圓座對準位置。在習知技藝中,係利用安裝於晶圓座邊緣上或安裝在處理模組內部的一個製造之機械配件,完成末端效應器定義中心對於晶圓座中心的對準。該機械配件具有一個關鍵特徵(實質上為對於該末端效應器的一中心突出部),其容許末端效應器抵靠在校正配件的關鍵特徵之上方。由於該配件係相對於晶圓座配置於中心,當末端效應器抵靠在該配件的關鍵特徵上時,該末端效應器中心將會位在晶圓座的中心。通常,係由一操作者對著該關鍵特徵拉或推該末端效應器,使該末端效應器抵靠在該關鍵特徵,來完成末端效應器對於關鍵特徵的定位。
於操作者將末端效應器相對於關鍵特徵定位後,該操作者再以機械控制系統記錄機械手臂位置,以便該機械控制系統能在機械控制的座標系統中,記錄完成此實際末端效應器/晶圓座的對準之該機械手臂的位置。
生期間,該機械手臂將末端效應器移動至與這個末端效應器/晶圓座對準位置相關聯的座標。如果晶圓係放在相對於末端效應器的中心,當用於晶圓處理之該晶圓係藉由機械手臂放在晶圓座上時,則現在末端效應器定義中心實際地對準該晶圓座中心的事實將使該晶圓被放在關於晶圓座的中心。
然而,基於校正之目的,採用習知技藝以相對於晶圓座將末端效應器配置於中心具有些缺點。首先,存在許多類型的晶圓座和處理模組。因此,為了利用機械配件方式以執行校正,必須製造和儲存許多不同的機械配件。另外,將可能具有一個以上之堅硬金屬邊緣或表面的物理機械配件固定於晶圓座,可能會損壞該晶圓座。此外,如果此一校正係於處理模組中已經實行一些電漿循環後在現場完成者(例如:於一段生產操作時間之後,回應於末端效應器可能未相對於晶圓座配置於中心的顧慮而為之校正),則在晶圓座上安裝物理校正配件可能會使在晶圓座上或靠近晶圓座之沉積粒子剝落而進入處理室中。在其後的處理循環中,這樣的粒子構成粒子污染係吾人所不樂見的。
此外,因為是在大氣壓力下執行校正,習知技藝校正技術無法有效地重現在製造時存在的狀況。此係因為在製造時,處理模組的元件可能放置於真空下,導致由於真空環境和周圍大氣之間壓力的不同,而使一個以上元件偏移。由於該校正狀況無法忠實地重現該製造狀況,故可能無法準確的校正。
再者,如果在末端效應器/晶圓座對準位置之末端效應器的定位係以手工方式執行(例如:包含操作者拉或推末端效應器以抵靠在機械配件的關鍵特徵上),當操作者放開機械手臂並以機械人控制器記錄此末端效應器/晶圓座對準位置時,機械手臂的位置可能會有偏移。這一偏移的發生有很多原因,包含將機械人馬達斷電。當機械手臂偏移開時,即使是機械操作者都察覺不出的小量偏移,都可能導致在校正程式中的不精確。如果該校正程式係不精確的,則於製造時可能發生不精確的晶圓配置,導致良率降低以及增加製造產品的廢棄率及/或故障率。
前述的討論係關於介於末端效應器和晶圓座之間可能的不當對準,以及為此的習知技藝解決辦法。然而,即使末端效應器中心係正確地對準於晶圓座中心(或能達成正確對準的結果),於製造時仍存在可能導致晶圓/晶圓座的不當對準之一潛在誤差來源。該潛在誤差為:不同產品晶圓可能被不同地定位於末端效應器上。如果末端效應器中心未能正確地或一致地對準於晶圓的中心,在製造時仍然會發生晶圓/晶圓座的不當對準。於此情況下,即使該末端效應器中心正確地對準於晶圓座中心,當該末端效應器將該晶圓放置於晶圓座上以進行處理時,晶圓/末端效應器的不當對準將使該晶圓相對於晶圓座的偏移。
相同的製造和組裝公差問題也影響上電極相對於下電極的對準。例如,在某些生產電漿處理系統中,製造和組裝公差可能會使上電極與晶圓座有輕微地偏移,導致一非對稱之電漿鞘,其影響電漿處理的可控制性。如另一個例子,該上電極在某些電漿處理系統中係用以能移動的。隨著時間的經過,該上電極組裝可能逐漸產生出『遊隙(play)』或超出規格的公差,導致有害的上電極/晶圓座偏移。因此,電漿處理結果可能蒙受損害。
如同可從前述看出的,於一電漿處理模組的元件之間,可能存在有及/或隨著時間的經過而逐漸產生各式各樣不當對準問題。如同前面討論過者,如果利用外部工具或外部對準配件處理這些不當對準問題,則可能對處理模組元件造成損害。進一步地,如果於處理模組環境之外部處理此不當對準問題,則由於處理室狀況之相異(例如:對準期間存在的處理室狀況與製造期間存在的處理室狀況之相異)而可能發生誤差。
更進一步地,如果習知技藝需要將晶圓移入及移出處理模組以處理不當對準問題,將單獨於對準問題上浪費不當量的時間。浪費的時間導致操作者對於電漿處理工具之較高的擁有成本,而此傾向於轉化成每單位時間較低的完成裝置之產量及/或較高的每單位裝置成本。
本發明的一實施例,係關於一電漿處理系統中用於決定位置及偏移的方法,該電漿處理系統包含至少一晶圓座和一上電極。該方法包含將一橫向運動組件沿著第一複數之路徑移動以產生第一複數之資料組,該橫向運動組件包含至少一光源,該光源提供一光束,將該橫向運動組件沿著該第一複數之路徑的每一路徑移動時,使得該光束在晶圓座上橫向運動而結果得到該第一複數之資料組的一個以上資料組。該方法也包含接收該第一複數之資料組並分析該第一複數之資料組以識別一第一組之至少三個不連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座邊緣時所產生的三個以上反射光訊號相關。該方法也包含利用與該第一組的至少三個不連續處相關聯的座標資料而決定該晶圓座之中心。
以上概要內容係關於此處公開之本發明的許多實施例中之僅只一實施例,而非打算限制此處申請專利範圍內所提出之本發明的範圍。本發明之這些與其他特徵,將於以下本發明的實施方式中,並結合圖示,作更詳細之描述。
現在將參照如附圖中說明之一些實施例,詳細描述本發明。在以下的描述中,提出許多具體細節,以提供對於本發明之徹底的理解。然而,很明顯的對於熟悉本技藝者,在缺少部份或全部具體細節的情況下,仍可實行本發明。在其他例子中,為了避免非必要地模糊化本發明,而不詳述熟知之製程步驟及/或結構。
以下,將描述包含方法與技術之各式各樣實施例。應該要記住本發明可能也涵蓋製造的物品,其包含儲有電腦可讀指令的電腦可讀媒介,該電腦可讀指令係用於實行本發明技術之實施例。該電腦可讀媒介可包含例如半導體、磁性、光磁、光學或其他用於儲存電腦可讀編碼的電腦可讀媒介之形式。進一步地,本發明也涵蓋用於實行本發明實施例的設備。這樣的設備可包含專用及/或可程式化的電路,以完成關於本發明實施例的任務。這樣設備之例子包含適當程式化之一般用途電腦及/或專用電腦裝置,並且可包含適用於各式各樣關於本發明實施例的任務之電腦/計算裝置和專用/可程式化電路的結合。
本發明之實施例係關於原位光學技術,用於確定在一處理模組內元件與物體之位置及/或在一電漿處理模組內介於元件及/或物體之間的偏移(例如:不當對準)。藉由在處理模組元件,末端效應器及/或晶圓之間,確定不當對準的存在及/或程度,可發展策略(例如機械手臂定位補正或元件的重新定位/重組)以處理該不當對準。
不像習知技藝方法,本發明之實施例能夠原位測量許多處理模組元件之間的偏移(例如介於晶圓座和上電極之間),並且在某種程度上不會造成處理模組之元件的損壞風險。此外,本發明之實施例能夠原位測量下降到晶圓座上之前或之後的任一晶圓與各種處理模組元件之間的偏移,並且測量方式不會有損壞該晶圓或該元件之可能。另外,本發明之實施例能夠原位評估晶圓處理的精確度(例如:相對於晶圓中心的斜角蝕刻之處理同心度),且其評估方式也有損壞該晶圓或該元件之可能。更進一步地,本發明之實施例能夠利用原位光學技術而自我校正,以排除利用硬體配件進行大氣狀況下校正相關聯之問題。
在本發明之一個以上之實施例中,該原位光學技術包含當一光束橫越一電漿處理模組的內部時,以光學方式測量反射不連續處。當該光束橫越在電漿處理模組內的元件時,可進行反射率測量。當一光束遭遇到一個反射率區域與另一反射率區域間的過渡區時,測量到的反射率產生改變。例如:維持垂直於晶圓座上表面所形成之平面的一光束,能朝向該晶圓座沿一直線橫向運動經過該晶圓座的上表面,並離開該晶圓座。當該光束首次遭遇到晶圓座周緣時,從一反射率區域至另一反射率區域具有一個過渡區,並且該光束的量測反射率也相對應地改變。
於此情況下,可記錄與分析反射率的改變以識別一不連續處。在該光束跨越晶圓座的上表面完成它的橫向運動並開始從晶圓座移開之後,隨著該光束從晶圓座的邊緣轉變到周圍區域時,則經歷在反射率區域的另一改變。於此情況下,可記錄與分析反射率的改變以識別另一不連續處。藉由多次跨越通過晶圓座上表面和記錄不連續處發生時的光束位置,可在光束之座標系統中確定該晶圓座之位置,包含該晶圓座中心的位置。從圓周上之3個以上的點決定一個圓為一已知數學習題,而此處將不重複。
在另一實施例中,該光束也可橫向運動於上電極的面對電漿表面。再一次地,當光束從上電極的外部傳送至上電極表面本身,而後又離開上電極時,可獲得反射率的不連續處。藉由多次跨越通過上電極表面和記錄不連續處發生時的光束位置,可在光束之座標系統中確定該上電極之位置,包含該上電極中心的位置。
在一個以上實施例中,藉由分析不續處的資料而取得之上電極與晶圓座的位置,可被使用以計算介於上電極和晶圓座間之相對偏移。由於上電極和晶圓座的位置兩者於光束的座標系統內均為確定的,而可比較它們的位置並獲得相對的偏移。該相對偏移值可產出有價值的資訊,而可幫助一現場工程師處理任何所不希望的上電極/晶圓座的偏移,並且更有效地診斷處理問題。
在一個以上實施例中,若精確地校正過雷射光組件,則可將(從該反射率不連續處記錄所確定的)晶圓座的絕對位置與晶圓座的預期位置相比較,並可確定從該預期位置的任何偏移。同樣地,如果精確地校正過雷射光組件,則可將(從該反射率間斷記錄所確定的)上電極的絕對位置與上電極的預期位置相比較,並可確定從該預期位置的任何偏移。再一次地,這些偏移可幫助一現場工程師確定晶圓座及/或上電極是否存在有對準問題。
在本發明一個以上實施例中,提供一原位光學校正技術。在一實施例中,將具有已知反射率之校正區域的一光學校正配件沿著光束之路徑而定位於一預定位置中(例如:在晶圓座的一面上及/或在上電極的一面上)。例如:可設置一校正盤,其具有鄰接於反射率為N%之第二校正區域配置的反射率為M%之第一校正區域(其中M%和N%代表已知的反射率)。
當該光束橫向運動於此光學校正配件時,該測量之反射率隨著遭遇到之校正區域而改變。藉由比較該反射率記錄與該校正區域之已知反射率,可校正該反射率記錄感測器及/或該分析邏輯的精確性。進一步地,也可校正負責檢測不連續處的邏輯部分。另外或替代地,由於將該光學校正配件定位於一已知、預定的位置,故可將該反射率不連續處的記錄位置與該反射率不連續處之預期位置相比較。以這個資料,也可校正包含移動光束及/或記錄該光束位置之系統的精確性。
在本發明之一個以上實施例中,可使用該原位光學技術以量測晶圓的絕對位置或晶圓和處理模組之其他元件(例如:晶圓座、上電極、或甚至機械手臂/效應器)之間的偏移。在一實施例中,維持垂直於晶圓上表面所形成之平面的一光束,能以朝向該晶圓的一直線橫向運動於該晶圓的上表面,並離開該晶圓。當該光束首次遭遇到晶圓周圍時,從一反射率區域至另一反射率區域具有一個轉變,並且該光束的反射率也相對應地改變。
於此情形下,可記錄與分析反射率的改變以識別一不連續處。在將該光束移動橫越晶圓上表面並開始從晶圓移開之後,隨著該光束從晶圓的邊緣傳送到周圍區域時,則經歷在反射率區域的另一改變。於此情形下,可記錄與分析反射率的改變以識別另一不連續處。藉由多次跨越通過晶圓上表面和記錄不連續處發生時的光束位置,可在光束之座標系統中確定該晶圓之位置,包含該晶圓中心的位置。
進一步地,如果將該晶圓定位於晶圓座上方,不論係於晶圓下降前在末端效應器上,或是該晶圓位於晶圓座本身之上,該晶圓邊緣與晶圓座的邊緣會導致光束反射率不連續處。藉由記錄這些不連續處發生的光束位置,可在通過一次以上之後,決定該晶圓是否相對於晶圓座同心配置。如果上電極的位置也利用橫向運動於上電極的一光束依先前討論的方式確定時,亦可決定是否該晶圓和該上電極之間存在一偏移與此偏移的程度。
如果光束路徑橫切過機械手臂及/或末端效應器,則由反射率不連續處資料可外推或曲線擬合以找出末端效應器的中心或機械手臂的位置。此資訊亦可使用來對準該機械手臂及/或該末端效應器,以達成最理想的晶圓傳送。
在本發明之一個以上實施例中,也可使用光學原位技術以評估斜角蝕刻製程的功效。為了詳盡說明,一晶圓通常具有至少兩區域:佔有晶圓中心區域大部分的一晶圓形成區域、和存在於一般來說無元件裝置形成之晶圓外部周圍的『環形』或『斜角』區域。應注意:吾人雖然高度期望在任何給予的晶圓中將該晶圓形成區域最大化而使裝置產量最大化,但由於各式各樣製程均一性與其他理由,但一環形或斜角區域仍然存在且通常不被使用以形成裝置。
即使該斜角區域不被用於裝置的形成,但某些處理步驟在該斜角區域中可能導致非有意和偶發之處理加工(例如:沉積)。例如,如果任令其不移除,則在斜角區域中之此非有意的沉積可能在一後續蝕刻步驟中會劣化處理加工的結果。因此,在一個以上之後續處理步驟前,經常使用一斜角蝕刻以從該斜角區域移除或『清除』物質。
由於該斜角區域傾向立即鄰接並圍繞該裝置形成區域,設計用於只從該斜角區域(但不是從裝置形成區域)移除物質之該斜角蝕刻的精準性係關鍵的。如果該蝕刻係不精準的,係可能從該裝置形成區域非有意地移除物質,而導致最終產物的缺陷。
在一個以上實施例中,係利用一原位光學技術確定斜角蝕刻的精確性。在一實施例中,維持垂直於晶圓上表面所形成之平面的一光束,能以朝向該晶圓的一直線橫向運動於該晶圓的上表面,並離開該晶圓。當該光束首次遭遇到晶圓周圍時,從一反射率區域至另一反射率區域具有一個轉變,並且該光束的反射率也相對應地改變。
既然這樣,可記錄與分析反射率的改變以識別一不連續處。在該光束移動跨越該晶圓之斜角區域後,該光束遭遇到裝置形成區域的起始點。在此點,從一反射率區域至另一反射率區域具有一個轉變,並且該光束的反射率再一次相對應地改變。可記錄與分析該反射率的改變以識別另一個不連續處。
如該光束持續於裝置形成區域之上橫越該晶圓之表面,則該光束靠近晶圓的另一面。首先該光束將從裝置形成區域轉回斜角區域,則遭遇到另一個反射率不連續處並且為此記錄該光束位置。最後,在光束橫越斜角區域後,該光束遭遇到該晶圓之邊緣並且得到另一個反射率不連續處。
藉由多次跨越通過晶圓,可外推該裝置形成區域和該斜角區域以及確定該斜角區域是否與相對的晶圓同心。如果該晶圓中心以及斜角區域同心『環』的中心之間具有一偏移,則此偏移可暗示出斜角蝕刻精準性的一問題,並且可使用該原位資料作為一回饋以處理該蝕刻精準性問題。
參照以下圖式與討論將可更加瞭解本發明之特徵與優點。圖2依照本發明之一實施例,展示在具有一晶圓座204之一處理模組202內,一原位光學量測設備的俯視圖。該原位光學量測設備包含一橫向運動雷射光組件,在此例子中其包含一橫動棒208和一雷射光組件210。橫動棒208係用於在X方向以一固定速率(其可隨不同應用而改變),在如圖所示之晶圓座的表面上移動。雷射光組件210係用以在橫動棒208上沿著Y方向移動,並代表一整合雷射光與感測器組件,其用以發射垂直於晶圓座204上表面所形成平面之方向的一光束。當雷射光組件210沿著圖2例子中之路徑220、222和224橫向運動時,該整合感測器從該光束記錄反射率資料。
圖2也顯示一軌跡250,其代表當雷射光組件210沿著路徑224橫越時,由雷射光組件210之感測器所獲得的反射率量測。選擇路徑224以簡化原位光學量測系統操作的說明,並不需要代表在實際量測期間所使用的路徑。例如:在某些例子中,路徑220和222被認為更適於獲得所需的量測資料。
在圖2的例子中,晶圓座為多層且當該光束沿著路徑224橫越時,該反射率量測係展示於軌跡250中。例如:當光束遭遇到在晶圓座204之邊緣上的位置252時,可在軌跡250中看出一不連續處254。當光束遭遇到在晶圓座204之邊緣上的位置256時,可在軌跡250中看出另一不連續處258。在檢測到一反射率不連續處的每一情況下,記錄雷射光發射體的目前位置(例如:從用於將橫動棒208和雷射光組件210移動的馬達之步進馬達編碼器數值獲得該位置)。
隨著雷射光組件210沿著路徑224橫越,當該光束到達該晶圓座的對面時,可在軌跡250中看見類似的不連續處。再一次地,對於這些不連續處記錄該雷射光發射體的目前位置。由於將這些反射率不連續處位置記錄於橫向運動之雷射光組件座標系統中,係可能利用這些位置之座標而計算出路徑224越過位置252和256以及位置260和262的位置。如果橫越多數個路徑(例如:一個以上的路徑220或222),可獲得足夠的不連續處關聯位置資料點以容許在橫向運動之雷射光組件座標系統中,代表該晶圓座和該晶圓座中心之該圓的計算。
圖2中,也展示一光學校正配件270。光學校正組件包含至少兩個具有已知反射率的校正區域272a和272b。當光束打到這些校正區域時,可使用該記錄的反射率資料(由在軌跡250上的參考號碼274展示)以校正感測反射率之感測器。此外或者,可將校正配件270定位於該處理模組內一已知位置上。當遭遇到該反射率不連續處時,可獲得該雷射光發射體的座標。可對照該光學校正配件270的已知位置比較這些座標,以校正馬達控制器及/或橫向運動之雷射光組件的定位感測邏輯。
圖3依照本發明之一實施例,展示除了此圖為上電極的仰視圖之類似情形。再一次地,當包含雷射光發射體與反射率感測器兩者之雷射光組件以Y方向移動時,橫向運動之雷射光組件容許該橫動棒以X方向移動。當橫向運動之雷射光組件沿著路徑304橫越時,由軌跡302代表該不連續處資料。
圖4依照本發明之一實施例,展示當雷射光組件沿著路徑402橫越座落於一末端效應器406上之一晶圓404時,用於獲得反射不連續處資料的佈置圖。圖4中,將晶圓404定位於一晶圓座408之上。反射率不連續處係表示於如圖所示之軌跡410中。在進行一些橫向運動路徑後,藉由記錄遭遇到反射率不連續處之雷射光發射體的位置,可獲得足夠的資料以促進代表晶圓和晶圓座之該圓的外推。之後可檢驗這些外推之圓以決定晶圓和晶圓座之間是否存在一偏移與此偏移的程度。
參照圖4,如果晶圓不存在下,若需要的話係可能獲得反射率不連續處資料,以外推單獨或相對於晶圓座任一之末端效應器的位置及/或中心。或者,即使晶圓安置於末端效應器上,雷射光橫向運動路徑仍可用以跨越機械手臂及/或末端效應器的一些可識別部份。可從末端效應器之上或下發射光束。如果已知機械手臂的形狀和末端效應器在機械手臂上的位置,係可能從反射率不連續處資料而外推得單獨或相對於晶圓座任一之末端效應器中心,以決定是否存在任何過度偏移。
圖5依照本發明之一實施例,展示除了該晶圓已落於晶圓座上之類似佈置。再一次地,當包含雷射光發射體與反射率感測器兩者之雷射光組件以Y方向移動時,橫向運動之雷射光組件容許該橫動棒以X方向移動。當橫向運動之雷射光組件沿著路徑504橫越時,由如所圖所示之軌跡502代表該不連續處資料。同樣再一次地,在進行一些橫向運動路徑後,藉由記錄遭遇到反射率不連續處之雷射光發射體的位置,可獲得足夠的資料以促進代表晶圓和晶圓座之該圓的外推。在將晶圓放於晶圓座上後,之後可檢驗這些外推之圓以決定該晶圓和該晶圓座之間是否存在一偏移與此偏移的程度。在隨後之晶圓傳送中可補正該計算之偏移,例如藉由將該機械手臂移動一些距離以補正該計算之偏移,如此一來當將一隨後之晶圓放於晶圓座上時則消除了不當對準。
在一個以上實施例中,可執行軌跡之比較以獲得相關之位置資訊。例如:藉由比較軌跡302(掃描圖3中之上電極而獲得)與軌跡250(掃描圖2中之晶圓座而獲得),係可能確定晶圓座和上電極之間是否存在一偏移。為了執行比較,軌跡250與軌跡302代表當雷射光組件於處理模組中橫越相同路徑時所獲得的反射率資料。圖6展示此例子,其中軌跡302與軌跡250的比較得到軌跡602的結果。在圖6的例子中,那兩個軌跡彼此相減而獲得脈衝608與610。如果晶圓座和上電極具有偏移,脈衝608與610的寬度將會不同,暗示介於晶圓座和上電極之間具有一定程度之非同心。
可執行任何成對軌跡之間類似的比較以獲得處理模組元件(例如:晶圓座、機械手臂、末端效應器、上電極等)及/或晶圓及/或在晶圓上之裝置形成區域及/或在晶圓上之斜角區域的相關定位。例如:係可能決定以下的相關位置:相對於晶圓座之晶圓、相對於上電極之晶圓座、相對於晶圓之上電極、相對於晶圓座之末端效應器、相對於上電極之末端效應器、在晶圓上相對於晶圓邊緣之裝置形成區域、在晶圓上相對於裝置形成區域之斜角區域、相對於晶圓座之裝置形成區域、相對於上電極之裝置形成區域、相對於晶圓座之斜角區域、相對於上電極之斜角區域等。
雖然此處例子展示獨立於末端效應器而固定的雷射光組件,但係可能為了橫向運動於處理模組內,而將雷射光組件固定在末端效應器上。進一步地,雖然展示的該雷射光組件係以X方向與Y方向線性傳送,但也可將雷射光組件旋轉地移動並沿著一給予旋轉向量記錄與雷射光組件位置一起的旋轉角度。
此外,雖然展示的該雷射光組件係藉由機械傳送機構移動,但也有可能光學地操縱光束,例如藉由使用一稜鏡。只要能夠在一些參考座標系統中獲得反射率不連續處的位置,那麼可使用引發光束而橫向運動於處理模組中的任何合適技術。此外或者,雖然展示的反射率感測器係整合於此處例子中的雷射光發射體,只要該感測器能夠以足夠保真度感測反射率資料,以促進反射率不連續處的決定,也有可能將該感測器固定於任何希望的位置。
圖7依照本發明之一實施例,展示在一處理模組中,用於決定元件及/或物體之位置及/或偏移的該原位光學技術之步驟說明流程圖。步驟702中,雷射光組件在處理模組內部橫向運動以掃描關注的元件及/或物體(例如:晶圓座、上電極、末端效應器、晶圓、在晶圓上的區域等等)。步驟704中,隨著掃描發生時,藉由感測器接收來自雷射光的反射率資料。步驟706中,針對不連續處而分析該反射率資料。這些不連續處係在檢測到反射率不連續處時,與雷射光發射體的位置資訊相關(步驟708)。之後使用這些不連續處相關之位置以決定在處理模組內各種元件及/或物體的位置。此外或者,可使用這些不連續處相關之位置以決定在處理模組內各種元件及/或物體之間的相對位置(亦即,偏移)。
如同可從前述的瞭解到,本發明之實施例促進在一處理模組內元件與物體之位置及/或偏移的原位決定。以此原位決定可相當迅速確定該位置及/或該偏移,使得可能使用該位置及/或偏移作為回饋以控制機械手臂而補正及/或修正。此外,一光學技術的使用則消除習知技藝為了校正而使用一硬體配件所帶來之損壞與污染問題。另外,由於不再需要從處理模組抽出晶圓以執行量測,則浪費較少的時間。更進一步地,可在相同於生產期間中存在之狀況的處理模組狀況下執行位置與偏移的決定,因此於實際生產環境中降低該決定結果的誤差與增進該決定結果的可用性。
雖然在此提供各種實施例,係想要說明這些實施例而非限制本發明。再者,此處係為了方便而提供標題與內容而不應該用為推斷此處申請專利的範圍。另外,摘要係以極度簡短形式所撰寫並且在此係為了方便而提供,而如此不應被用為推斷或限制陳述在申請專利範圍中的整體發明。如果項次『集』被使用於此,係意指這樣的項次具有其一般地可理解之數學意義以涵蓋零、一、或超過一元。也應該注意到有很多實施本發明之設備和方法的替代方式。因此,以下附加的申請專利案係想要被理解為,所有的這些改變、變更、以及相等物都包含在本發明的真實精神與範圍內。
102...末端效應器
104...晶圓
106...機械手臂
202...處理模組
204...晶圓座
208...橫動棒
210...雷射光組件
220、222、224...路徑
250...軌跡
252、256、260、262...位置
254、258...不連續處
270...光學校正配件
272a、272b...校正區域
274...參考號碼
302...軌跡
304...路徑
402...路徑
404...晶圓
406...末端效應器
408...晶圓座
410...軌跡
502...軌跡
504...路徑
602...軌跡
608、610...脈衝
702、704、706、708、710...步驟
X、Y...方向
本發明係藉由在伴隨圖示的圖中之實施例說明,而非藉此限制本發明,而圖中類似參考數字對應至相似元件,且其中:
圖1展示在晶圓傳送期間,用於支撐一晶圓之一典型習知技藝的末端效應器;
圖2依照本發明之一實施例,展示在具有一晶圓座之一處理模組內,一原位光學量測設備的俯視圖;
圖3依照本發明之一實施例,展示類似於圖2之情形,除了此圖為上電極的仰視圖;
圖4依照本發明之一實施例,展示當雷射光組件沿著路徑橫越一晶圓時,用於獲得反射不連續處資料的佈置圖;
圖5依照本發明之一實施例,展示類似於圖4之佈置,除了該晶圓已落於晶圓座上;
圖6依照本發明之一實施例,說明來自兩個軌跡偏移量的決定;
圖7依照本發明之一實施例,展示在一處理模組中,用於決定元件及/或物體之位置及/或偏移的該原位光學技術之步驟說明流程圖。
202...處理模組
204...晶圓座
208...橫動棒
210...雷射光組件
220、222、224...路徑
250...軌跡
252、256、260、262...位置
254、258...不連續處
270...光學校正配件
272a、272b...校正區域
274...參考號碼
X、Y...方向

Claims (14)

  1. 一種於電漿處理系統中用以決定位置及偏移的方法,用以在一電漿處理系統中決定位置及偏移,該電漿處理系統包含一晶圓座和一上電極,該方法包含:將包含提供一光束的一光源的一橫向運動組件沿著第一複數之路徑移動,以使該光束橫向運動於該晶圓座且產生第一複數之資料組之一個以上的資料組;分析該第一複數之資料組的該一個以上的資料組,以識別一第一組的至少三個不連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座之一邊緣時所產生的三個以上反射光訊號相關;利用與該第一組的至少三個不連續處相關聯之座標資料而決定該晶圓座之中心;基於該第一組的至少三個不連續處,決定一第一組之三個以上座標資料點;基於該第一組之三個以上座標資料點,決定該晶圓座中心和該晶圓座之一絕對位置;將該橫向運動組件沿著第二複數之路徑移動,以使該光束和一第二光束兩者中至少其一橫向運動於該上電極且產生第二複數之資料組的一個以上資料組;分析該第二複數之資料組的該一個以上資料組,以識別一第二組之至少三個不連續處,該第二組之至少三個不連續處代表在該上電極之邊緣上的三個以上之點;利用與該第二組之至少三個不連續處相關聯之座標資料而決定該上電極之中心;將一光學校正配件定位於一預定位置中,其中該第一複數之路徑橫越該預定位置,且其中該光學校正配件具有複數之校正區域;及將該橫向運動組件沿著該第一複數之路徑之每一路徑移動,而使該光束橫向運動於該光學校正配件,並產生第三複數之資料 組中之一個以上資料組,其中該第三複數之資料組代表該複數之校正區域中的每一校正區域之一量測反射率的改變。
  2. 如申請專利範圍第1項之於電漿處理系統中用以決定位置及偏移的方法,更包含使用該晶圓座之絕對位置和該晶圓座之一預期位置,以確定該晶圓座之絕對位置和該晶圓座之預期位置之間的偏移。
  3. 如申請專利範圍第1項之於電漿處理系統中用以決定位置及偏移的方法,更包含使用該晶圓座之該絕對位置和該上電極之一絕對位置,以計算該上電極和該晶圓座之間的一相對偏移。
  4. 如申請專利範圍第1項之於電漿處理系統中用以決定位置及偏移的方法,更包含:基於該第二組之至少三個不連續處決定一第二組之三個以上座標資料點;基於該第二組之三個以上座標資料點決定該上電極中心和該上電極之一絕對位置。
  5. 如申請專利範圍第4項之於電漿處理系統中用以決定位置及偏移的方法,更包含使用該上電極之絕對位置和該上電極之一預期位置,以確定該上電極之絕對位置和該上電極之預期位置之間的偏移。
  6. 如申請專利範圍第1項之於電漿處理系統中用以決定位置及偏移的方法,其中:該三個以上反射光訊號分別對應該第一複數之路徑;該第一複數之路徑包含橫越由該晶圓座的一上表面所形成的一平面的三條路徑;在橫向運動於該第一複數之路徑的第一路徑之後,在一第一 方向上沿著該平面且在該第一路徑和第二路徑之間移動包含該光源的該橫向運動組件;及在橫向運動於該第一複數之路徑之時,在垂直於該第一方向的第二方向上且沿著該平面移動包含該光源的該橫向運動組件。
  7. 一種於電漿處理系統中用以決定位置及偏移的方法,用以在一電漿處理系統中決定位置及偏移,該電漿處理系統包含一晶圓座和一上電極,該方法包含:將包含提供一光束的一光源的一橫向運動組件沿著第一複數之路徑移動,以使該光束橫向運動於該晶圓座且產生第一複數之資料組之一個以上的資料組;分析該第一複數之資料組的該一個以上的資料組,以識別一第一組的至少三個不連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座之一邊緣時所產生的三個以上反射光訊號相關;利用與該第一組的至少三個不連續處相關聯之座標資料而決定該晶圓座之中心;基於該第一組的至少三個不連續處,決定一第一組之三個以上座標資料點;基於該第一組之三個以上座標資料點,決定該晶圓座中心和該晶圓座之一絕對位置;將一光學校正配件定位於一預定位置中,其中該第一複數之路徑係橫越該預定位置,且其中該光學校正配件具有複數之校正區域;及將該橫向運動組件沿著該第一複數之路徑之每一路徑移動,而使該光束橫向運動於該光學校正配件,並產生第二複數之資料組中之一個以上資料組,其中該第二複數之資料組代表該複數之校正區域中的每一校正區域之一量測反射率的改變。
  8. 一種電漿處理系統,用於產生電漿以處理一基板,該系統包含: 一晶圓座,用於在該電漿處理系統中支撐該基板;一橫向運動組件,其包含一光源,該光源提供一光束;一移動機構,用於:將該橫向運動組件沿著第一複數之路徑移動,而使該光束橫向運動於該晶圓座,並產生第一複數之資料組中之一個以上資料組;一感測器,用於接收自該晶圓座反射的光束;一處理單元,用於:分析該第一複數之資料組之該一個以上資料組以識別一第一組之至少三個不連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座之一邊緣時所產生之三個以上反射光訊號相關,以及利用與該第一組的至少三個不連續處相關聯之座標資料而決定該晶圓座之中心,其中,該處理單元亦用於:基於該第一組的至少三個不連續處,決定一第一組之三個以上座標資料點,及基於該第一組之三個以上座標資料點,在該電漿處理系統中決定該晶圓座中心和該晶圓座之一絕對位置;一上電極,用以產生該電漿,其中該移動機構更用以:將該橫向運動組件沿著第二複數之路徑移動,以使該光束和一第二光束兩者中至少其一橫向運動於該上電極,並產生第二複數之資料組中之一個以上資料組,其中該感測器更用以接收反射自該上電極的光束,及其中該處理單元更用以:分析該第二複數之資料組以識別一第二組之至少三個不連續處,該第二組之至少三個不連續處代表在該上電極之邊緣上之三個以上的點,以及利用與該第二組之至少三個不連續處相關聯之座標資料而決定該上電極之中心;及一光學校正配件,定位於一預定位置, 其中該第一複數之路徑係橫越該預定位置,其中該光學校正配件具有複數之校正區域,其中該橫向運動組件沿著該第一複數之路徑之每一路徑移動,而使該光束橫向運動於該光學校正配件,並產生第三複數之資料組中之一個以上資料組,且其中該第三複數之資料組代表該複數之校正區域中的每一校正區域之一量測反射率的改變。
  9. 如申請專利範圍第8項之電漿處理系統,其中該處理單元更用以使用該晶圓座之絕對位置和該晶圓座之一預期位置,以確定該晶圓座之絕對位置和該晶圓座之預期位置之間的偏移。
  10. 如申請專利範圍第8項之電漿處理系統,其中該處理單元更用以使用該晶圓座之絕對位置和該上電極之一絕對位置,以計算該上電極和該晶圓座之間的相對偏移。
  11. 如申請專利範圍第8項之電漿處理系統,其中:該處理單元,亦用於:基於該第二組之至少三個不連續處決定一第二組之三個以上座標資料點,及基於該第二組之三個以上座標資料點決定該上電極中心和該上電極之絕對位置。
  12. 如申請專利範圍第11項之電漿處理系統,其中該處理單元更用以使用該上電極之絕對位置和該上電極之一預期位置,以確定該上電極之絕對位置與該上電極之預期位置之間的偏移。
  13. 一種電漿處理系統,用於產生電漿以處理一基板,該系統包含:一晶圓座,用於在該電漿處理系統中支撐該基板;一橫向運動組件,其包含一光源,該光源提供一光束; 一移動機構,用於:將該橫向運動組件沿著第一複數之路徑移動,而使該光束橫向運動於該晶圓座,並產生第一複數之資料組中之一個以上資料組;一感測器,用於接收反射自該晶圓座的光束;一處理單元,用於:分析該第一複數之資料組以識別一第一組之至少三個不連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座之一邊緣時所產生之三個以上反射光訊號相關,以及利用與該第一組的至少三個不連續處相關聯之座標資料而決定該晶圓座之中心,基於該第一組的至少三個不連續處,決定一第一組之三個以上座標資料點,及基於該第一組之三個以上座標資料點,在該電漿處理系統中決定該晶圓座中心和該晶圓座之一絕對位置;一光學校正配件,定位於一預定位置,其中該第一複數之路徑係橫越該預定位置,其中該光學校正配件具有複數之校正區域,其中該橫向運動組件沿著該第一複數之路徑之每一路徑移動,而使該光束橫向運動於該光學校正配件,並產生第三複數之資料組中之一個以上資料組,且其中該第三複數之資料組代表該複數之校正區域中的每一校正區域之一量測反射率的改變。
  14. 一種對準系統,用於產生電漿以處理一基板,該系統包含:一晶圓座,用於支撐該基板;一橫向運動組件,包含一光源,該光源提供一光束;一移動機構,用於將該橫向運動組件沿著第一複數之路徑移動,以使該光束橫向運動於該晶圓座,並產生第一複數之資料組中之一個以上資料組;一感測器,用於接收反射自該晶圓座的光束;一處理單元,用於:分析該第一複數之資料組以識別一第一組之至少三個不 連續處,其中該第一組的至少三個不連續處係與該光束遭遇到該晶圓座之一邊緣時所產生之三個以上反射光訊號相關,以及利用與該第一組的至少三個不連續處相關聯之座標資料而決定該晶圓座之中心;一上電極,用以產生該電漿,其中該移動機構更用以:將該橫向運動組件沿著第二複數之路徑移動,以使該光束和一第二光束兩者中至少其一橫向運動於該上電極,並產生第二複數之資料組中之一個以上資料組,其中該感測器更用以接收反射自該上電極之表面的光束,及其中該處理單元更用以:分析該第二複數之資料組以識別一第二組之至少三個不連續處,該第二組之至少三個不連續處代表在該上電極之邊緣上之三個以上的點,以及利用與該第二組之至少三個不連續處相關聯之座標資料而決定該上電極之中心;及一光學校正配件,定位於一預定位置,其中該第一複數之路徑係橫越該預定位置,其中該光學校正配件具有複數之校正區域,其中該橫向運動組件沿著該第一複數之路徑之每一路徑移動,而使該光束橫向運動於該光學校正配件,並產生第三複數之資料組中之一個以上資料組,且其中該第三複數之資料組代表該複數之校正區域中的每一校正區域之一量測反射率的改變。
TW097151045A 2007-12-27 2008-12-26 用以決定位置及偏移之設備與方法 TWI475632B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US1714807P 2007-12-27 2007-12-27

Publications (2)

Publication Number Publication Date
TW200943467A TW200943467A (en) 2009-10-16
TWI475632B true TWI475632B (zh) 2015-03-01

Family

ID=40825026

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097151045A TWI475632B (zh) 2007-12-27 2008-12-26 用以決定位置及偏移之設備與方法

Country Status (7)

Country Link
US (1) US8860955B2 (zh)
JP (1) JP5409649B2 (zh)
KR (1) KR101571180B1 (zh)
CN (1) CN101911277B (zh)
SG (1) SG195592A1 (zh)
TW (1) TWI475632B (zh)
WO (1) WO2009086042A2 (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1865354B1 (en) * 2005-03-17 2016-03-16 Hamamatsu Photonics K.K. Microscopic image capturing device
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8620064B2 (en) * 2010-02-17 2013-12-31 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
US8452077B2 (en) * 2010-02-17 2013-05-28 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with correction of motion-induced distortion
US8698889B2 (en) 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN102798363A (zh) * 2012-08-10 2012-11-28 东莞劲胜精密组件股份有限公司 一种电极偏移量自动输出方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测系统及偏差监测方法、等离子体加工设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104078402B (zh) * 2014-06-30 2017-01-18 武汉新芯集成电路制造有限公司 一种机械手臂位置调整的辅助装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9966292B2 (en) * 2016-07-12 2018-05-08 Globalfoundries Inc. Centering fixture for electrostatic chuck system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10867824B2 (en) * 2018-05-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate detecting system in a substrate storage container
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
NL2023546A (en) 2018-08-23 2020-02-27 Asml Netherlands Bv Stage apparatus and method for calibrating an object loading process
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110538765B (zh) * 2019-08-05 2021-06-04 逸美德科技股份有限公司 获得点胶针头的基准坐标的方法、校正方法及校正装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112387540B (zh) * 2020-11-17 2021-12-10 四川庆达实业集团有限公司 一种热煨弯管3lpe涂覆的控制系统及其控制方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113394149A (zh) * 2021-06-30 2021-09-14 乐孜芯创自动化设备(上海)有限公司 具备位置检测功能的硅片传输片叉、机械手及传输方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153755B (zh) * 2023-10-26 2024-02-09 迈为技术(珠海)有限公司 一种晶圆对中方法、晶圆洗边方法以及晶圆对中机构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) * 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US6917698B2 (en) * 2000-11-09 2005-07-12 Tokyo Electron Limited Method for aligning two objects, method for detecting superimposing state of two objects, and apparatus for aligning two objects

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971443A (en) * 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
JPH0737967A (ja) * 1993-07-15 1995-02-07 Sony Corp ウエハの位置合わせ装置および位置合わせ方法
US5530548A (en) * 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) * 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
EP0837333A3 (en) * 1996-10-18 1999-06-09 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
JP2001509643A (ja) 1997-07-11 2001-07-24 ジェンマーク・オートメーション 複数ポイント位置走査システム
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
BE1011535A3 (nl) * 1997-11-05 1999-10-05 Framatome Connectors Belgium Werkwijze en inrichting voor het opmeten van de positie van een reeks contactpennen en voor het aanbrengen van deze reeks in een plaat met gedrukte schakelingen.
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
TW493205B (en) * 1999-03-22 2002-07-01 Sensys Instr Corp Method and apparatus for wafer metrology
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) * 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) * 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
JP2001264015A (ja) * 2000-03-21 2001-09-26 Nikon Corp 位置検出方法及び位置検出装置並びに露光装置
JP4942129B2 (ja) 2000-04-07 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド GaAsウエハ用のウエハ方向センサー
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US6747746B2 (en) * 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6990430B2 (en) 2002-12-20 2006-01-24 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP4501103B2 (ja) * 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060045666A1 (en) 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US20070177963A1 (en) * 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer
JP4887913B2 (ja) * 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) * 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US6917698B2 (en) * 2000-11-09 2005-07-12 Tokyo Electron Limited Method for aligning two objects, method for detecting superimposing state of two objects, and apparatus for aligning two objects

Also Published As

Publication number Publication date
SG195592A1 (en) 2013-12-30
KR20100096248A (ko) 2010-09-01
WO2009086042A3 (en) 2009-09-24
CN101911277A (zh) 2010-12-08
KR101571180B1 (ko) 2015-11-23
CN101911277B (zh) 2012-04-04
TW200943467A (en) 2009-10-16
US20100277749A1 (en) 2010-11-04
JP5409649B2 (ja) 2014-02-05
JP2011508454A (ja) 2011-03-10
US8860955B2 (en) 2014-10-14
WO2009086042A2 (en) 2009-07-09

Similar Documents

Publication Publication Date Title
TWI475632B (zh) 用以決定位置及偏移之設備與方法
US11908721B2 (en) Tool auto-teach method and apparatus
US8954287B2 (en) Systems and methods for calibrating end effector alignment using at least a light source
TWI447061B (zh) 備有自動化對準功能的基板移送裝置
US6242879B1 (en) Touch calibration system for wafer transfer robot
TWI397969B (zh) 具有迅速工件定中心功能的加工裝置
TWI457685B (zh) 用以定位及檢驗基底之補償校正方法及配置
JP4993614B2 (ja) 搬送手段のティーチング方法、記憶媒体及び基板処理装置
TWI431704B (zh) 用以定位基底之偏移校正技術
US7433759B2 (en) Apparatus and methods for positioning wafers
TW200416933A (en) System and method for on-the-fly eccentricity recognition
JP2005297072A (ja) 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
JP2008530804A (ja) ウェーハを位置決めする方法
JP2015149365A (ja) 基板搬送機構の位置検出方法、記憶媒体及び基板搬送機構の位置検出装置
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
TWI825172B (zh) 基準部過濾自動晶圓置中方法及相關系統
JP4607994B2 (ja) 円盤状物の位置決め方法並びに、その方法を使用する円盤状物の位置決め装置、搬送装置および半導体製造設備
CN104752295A (zh) 位置监测装置、等离子体加工设备及工件的装卸方法
KR102676848B1 (ko) 전기적 연속성을 사용하여 엔드 이펙터 평탄도 검증
JP6959500B2 (ja) プローバおよびプリアライメント方法
CN118116851A (zh) 基板搬运机器人系统以及基板搬运机器人的示教方法
JP2024064942A (ja) ティーチング方法、ティーチング方法を実行する媒体に記憶されたプログラム及び搬送システム
TW202417197A (zh) 教導方法、儲存於媒體中用於執行教導方法的程式及轉移系統
KR20170089783A (ko) 전기적 연속성을 사용하여 엔드 이펙터 평탄도 검증
JP2007129063A (ja) ウェハ搬送装置の教示装置