TW493205B - Method and apparatus for wafer metrology - Google Patents

Method and apparatus for wafer metrology Download PDF

Info

Publication number
TW493205B
TW493205B TW89105382A TW89105382A TW493205B TW 493205 B TW493205 B TW 493205B TW 89105382 A TW89105382 A TW 89105382A TW 89105382 A TW89105382 A TW 89105382A TW 493205 B TW493205 B TW 493205B
Authority
TW
Taiwan
Prior art keywords
wafer
measurement
patent application
scope
item
Prior art date
Application number
TW89105382A
Other languages
Chinese (zh)
Inventor
Michael Weber-Grabau
Edric H Tong
Douglas E Ruth
James M Cahill Jr
Fred E Stanke
Original Assignee
Sensys Instr Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/495,821 external-priority patent/US6690473B1/en
Application filed by Sensys Instr Corp filed Critical Sensys Instr Corp
Application granted granted Critical
Publication of TW493205B publication Critical patent/TW493205B/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

A surface metrology device, comprising a metrology unit receiving information from a measurement region of a surface; and a first imaging camera with a first field-of-view containing the measurement region.

Description

493205 A7 B7 五、發明說明(1 ) 發明背景a 發明領域 本發明係關於光學量測法,特別係關於一種半導體晶 圓線上薄膜反射量測及外廓量測。 相關技藝說明 積體電路(I C )工業中,在晶圓製造技術中朝向微 小關鍵尺寸製程設備。技術因素如製造設備中光波長與關 鍵尺寸之比例,以及經濟因素如晶圓產出、製造成本( C〇〇)以及全體設備有效値(〇E E )均係相當的重要 〇 I C製造中,需要上百的製造步驟。在一些製造步驟 中,物質連續一層一層形成於一基質之上。通常,一薄膜 層係沉積於晶圓在前一個製程,並接續地加以平整至高精 度。如是之平整步驟係通常以化學機械式拋光(C Μ P ) 完成。在C Μ Ρ製程步驟之後,所餘薄膜之厚度係在其許 可誤差範圍內。 光學方法係方便用以測量該薄膜厚度,因爲光是非破 壞性及侵入性。量測表面的光特性或量測薄膜光交互作用 之光被影響,可得到晶圓上薄膜的特性。當晶圓上關鍵尺 寸係縮減時,光學量測技術必須更加先進以得到所需之精 確度。 經濟因素係同時影響半導體設備的發展技術。這些設 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁 裝 1---,—訂----- 經濟部智慧財產局員工消費合作社印製 4- 493205 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(2 ) 備在處理晶圓時’必須快速且兼具高度的一致性、精度以 及高可靠性。因爲,所有的製程均在嚴格控制的環境下進 行’機械設備的尺寸亦同爲一重要的因素。另外,不論製 程或量測方法是如何的複雜,容易操作也是相當重要的。 上述之諸因素及經濟因素等均可表示於如c〇〇及〇E E 之圖表之中。 在1990年代,1C製造者重視諸如OEE及 C〇〇等經濟因素,以及良率的改善。一基本的需求係在 於精確量測其幾何形狀,以及在晶圓製造過程中所造成之 污染量。基於此一需求,便加以利用 ''量測主框架〃裝置 ,其係部份與I C製造線整合。 不論 ''離線〃,其所造成之結果係使製程控制裝置變 .的更爲出色,目前,在I C先進的製程中良率可高達8 0 〜9 0%,而過去的良率爲5 0〜6 0%或更低。然而, 製造設備的成本會因此一極佳的良率而增加3 - 5倍。 關於此種離線量測控制方法有兩大問題: (1)必須等待此一量測主框架系統之測試量測値以 確認各製程的結果,以及 (2 )對製程工程師而言係難以達成且維持最佳製程 參數。 '未來,整合(線上)量測法中量測設備會設置於製程 設備之中,且必要面臨降低成本之需求。 整合性之量測方法包括整合量測系統於製程工具之中 ,使得在量測時間上得以實質的減少’並縮短介於該製程 ---^---,------•裝 (請先閱讀背面之注意事項再填寫本頁493205 A7 B7 V. Description of the invention (1) Background of the invention a. Field of the invention The present invention relates to optical measurement methods, and more particularly to a thin-film reflection measurement and profile measurement on a semiconductor crystal circle line. Relevant technical description In the integrated circuit (IC) industry, in the wafer manufacturing technology, small key size process equipment is targeted. Technical factors such as the ratio of light wavelength to key dimensions in manufacturing equipment, and economic factors such as wafer output, manufacturing cost (C〇〇) and overall equipment effectiveness (EE) are quite important. IC manufacturing requires Hundreds of manufacturing steps. In some manufacturing steps, substances are formed successively on top of a substrate. Generally, a thin film layer is deposited on a wafer in a previous process and is subsequently flattened to a high accuracy. If so, the leveling step is usually performed by chemical mechanical polishing (CMP). After the CMP process step, the thickness of the remaining film is within its allowable error range. Optical methods are convenient for measuring the thickness of this film because light is nondestructive and invasive. The light characteristics of the measurement surface or the light interaction of the measurement film are affected to obtain the characteristics of the film on the wafer. As critical dimensions on wafers shrink, optical measurement techniques must be advanced to achieve the required accuracy. Economic factors are technologies that affect the development of semiconductor equipment. These paper sizes are applicable to China National Standard (CNS) A4 specifications (210 X 297 mm) (Please read the precautions on the back before filling out this page. Printed by the Bureau ’s Consumer Cooperatives 4-493205 A7 B7 Printed by the Consumer Property Cooperatives of the Intellectual Property Bureau of the Ministry of Economy .Because all processes are performed in a strictly controlled environment. The size of the mechanical equipment is also an important factor. In addition, no matter how complicated the process or measurement method is, easy operation is also very important. Factors and economic factors can be expressed in graphs such as c00 and 0EE. In the 1990s, 1C manufacturers attached importance to economic factors such as OEE and C00, as well as improvement in yield. A basic demand system It is to accurately measure its geometry and the amount of contamination caused during the wafer manufacturing process. Based on this demand, the `` measurement main frame / device '' is used, which is part of the IC manufacturing line Integration. Regardless of "offline", the result is to make the process control device better. At present, in the advanced process of IC, the yield can be as high as 80 ~ 90%, while the past yield is 50 ~ 60% or lower. However, the cost of manufacturing equipment will increase 3 to 5 times due to this excellent yield. There are two major problems with this offline measurement control method: (1) You must wait for this A test measurement of the measurement main frame system to confirm the results of each process, and (2) it is difficult for the process engineer to achieve and maintain the optimal process parameters. 'In the future, measurement in the integrated (online) measurement method The equipment will be set in the process equipment, and it must face the need to reduce costs. Integrated measurement methods include integrating the measurement system into the process tool, so that the measurement time can be substantially reduced 'and shortened between Process --- ^ ---, ------ • Installation (Please read the precautions on the back before filling this page

ϋ n ϋ ·ϋ n 1 ϋ^· ϋ ^1 I 蠢· 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐 -5- 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(3 ) 控制與量測系統間回饋時間。藉由量測處理後各晶圓之關 鍵尺寸,該製程工具則會取得最近之晶圓的資訊而無需暫 停製造。如是將可達成良好的晶圓間控制。該整會之量測 方法同時係顯著以減少測試晶圓之開銷、提升製造品質以 及保持準時之作業而降低作業成本,且減少刮傷晶圓數量 。由上述可知,許多製程係以微電子製造,其係利於整合 量測,包括C Μ P、電漿蝕刻、化學蒸鍍以及石刻處理。 習知技術用於整會薄膜量測之化學裝置,在面對業界 要求時,係多限於組合精確量測薄膜厚度之能力。一般而 言,習知技術之線上裝置係限於量測厚度在8 0奈米左右 之厚度。然而,業界仍量測薄膜厚度約數十奈米的需求, 甚者習知技術裝置係限制於快速接續量測晶圓表面全部特 性之能力,因是,在半導體業界需要改良的裝置及方法以 運用於整合性薄膜量測以提供習知技術所欠缺之優點。 圖式說明 圖1係本發明實施例系統硬體全貌。 圖2 Α及圖2 Β係說明本發明實施例之新穎性。 圖3係表示反射器實施例。 圖4係表示晶圓對正器之實施例。 複5係說明晶圓對正器之校準。 圖6係表示本發明改善該晶圓對正器之精確度。 圖7係說明利用一大型視場(L F〇V )照像機以及 一小型視場(S F〇V )照像機避免在製程中探索晶圓之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-6 - 1.-!----------•裝----Ί*—·— 訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 A7 〜_______B7 五、發明說明(4 ) 特定區域% 圖8說明利用L F〇V之優點可易於在訓練中決定尺 寸。 ® 9表示鏡狀自動聚焦系統。 圖1 0說明一不對稱之鏡狀自動聚焦系統。 圖1 1說明不對稱鏡狀自動聚焦法中傾斜之靈敏度。 圖12表示該自動聚焦系統之一特定實施例。 圖1 3說明利用對稱鏡狀自動聚焦系統所增進對表面 位移測量之靈敏度。 圖1 4表示該對稱鏡狀自動聚焦系統之表面傾斜靈敏 度。 圖1 5表示該自動聚焦系統之另一實施例。 圖1 6說明圖1 5所示實施例之表面傾斜靈敏度。 圖1 7說明晶圓支撐器實施例。 圖1 8說明晶圓支撐器實施例。 圖1 9說明晶圓支撐器實施例。 圖2 0說明晶圓支撐器實施例。 圖2 1說明晶圓支撐器實施例細部。 圖2 2說明晶圓支撐器實施例細部。 圖2 3說明晶圓浸入硬體實施例,在晶圓浸入之前。 儀2 4說明晶圓浸入硬體實施例,在晶圓浸入開始階 段。 圖2 5說明晶圓浸入硬體實施例,在晶圓浸入之後階 段。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) —J---------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 493205 A7 _B7_ 五、發明說明(5 ) 圖2 &說明在轉動晶圓夾頭中晶圓之轉動 圖2 7說明整合量測裝置之一實施例。 —^------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 主要元件對照表 1〇〇 1〇1 10 3 1〇4 10 5 110 111 1 2 0 1 3 0 13 5 13 7 13 8 13 9 1 4 〇,1 4 1 14 5 14 6 1 5 Ό 1 6〇 1 9〇 反射量測計 真空夾頭 光源光纖 第一光束分離器 第二光束分離器 晶圓 量測區域 窗 準直器 光線圈 第一成像光學組合 第二成像光學組合 第三成像光學組合 光譜器 光譜光纖 孔鏡 大型視場照像機 小型視場照像機 自動聚焦物鏡組合 第一光路板 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -8 - 493205ϋ n ϋ · ϋ n 1 ϋ ^ · ϋ ^ 1 I Stupid · This paper size applies to China National Standard (CNS) A4 (210 x 297 mm-5- 493205) Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention (3) Feedback time between control and measurement system. By measuring the critical dimensions of each wafer after processing, the process tool will obtain the latest wafer information without pausing manufacturing. If so, it can be achieved Good wafer-to-wafer control. The measurement method of the whole assembly is also significant to reduce the cost of test wafers, improve manufacturing quality, and maintain on-time operations to reduce operating costs and reduce the number of scratched wafers. From the above, Many processes are manufactured by microelectronics, which are conducive to integrated measurement, including CP, plasma etching, chemical vapor deposition, and stone engraving. Known technologies for chemical devices used for thin film measurement are facing industry requirements. At present, it is mostly limited to the ability to accurately measure the thickness of the film. Generally speaking, the online devices of the conventional technology are limited to measuring the thickness of about 80 nm. However, the industry still measures the thickness of the film The requirements of about tens of nanometers, and even the conventional technology device, are limited to the ability to quickly measure all the characteristics of the wafer surface, because the semiconductor industry needs improved devices and methods for applying integrated thin film measurement to It provides the advantages lacking in the conventional technology. Schematic illustration Fig. 1 is an overall view of the system hardware according to the embodiment of the present invention. Fig. 2 A and Fig. 2 B are illustrations of the novelty of the embodiment of the present invention. Figure 4 shows an embodiment of the wafer alignment device. Figure 5 shows the calibration of the wafer alignment device. Figure 6 shows the present invention improves the accuracy of the wafer alignment device. Figure 7 shows the use of a large-scale view. Field (LF0V) camera and a small field of view (SF0V) camera to avoid exploring the wafer in the process. The paper size of the paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm)- 6-1 .-! ---------- • Installation ---- Ί * — · — Order --------- (Please read the precautions on the back before filling this page) Printed by A7 of the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives ________ B7 V. Description of the invention (4) Specific area% Figure 8 illustrates the use of LF〇V The advantage is that it is easy to determine the size during training. ® 9 represents a mirror-like autofocus system. Figure 10 illustrates an asymmetric mirror-like autofocus system. Figure 11 illustrates the sensitivity of tilt in an asymmetric mirror-like autofocus method. Figure 12 A specific embodiment of the autofocus system is shown. Figure 13 illustrates the improved sensitivity of surface displacement measurement using a symmetrical mirror autofocus system. Figure 14 shows the surface tilt sensitivity of the symmetrical mirror autofocus system. Figure 1 5 FIG. 16 shows another embodiment of the autofocus system. FIG. 16 illustrates the surface tilt sensitivity of the embodiment shown in FIG. 15. Figure 17 illustrates an embodiment of a wafer support. Figure 18 illustrates an embodiment of a wafer support. Figure 19 illustrates an embodiment of a wafer support. Figure 20 illustrates an embodiment of a wafer support. Figure 21 illustrates details of an embodiment of a wafer supporter. Figure 22 illustrates details of an embodiment of a wafer supporter. Figure 23 illustrates an embodiment of wafer immersion hardware before wafer immersion. Instrument 24 illustrates an embodiment of wafer immersion hardware at the beginning of wafer immersion. Figure 25 illustrates an embodiment of a wafer immersion hardware after the wafer immersion stage. This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) —J --------- Packing ----- ^ ---- Order -------- -(Please read the precautions on the back before filling this page) 493205 A7 _B7_ V. Description of the invention (5) Figure 2 & Description of wafer rotation in rotating wafer chuck Figure 2 7 illustrates one of the integrated measuring devices Examples. — ^ ------ Equipment ----- ^ ---- Order --------- (Please read the notes on the back before filling out this page) Employee Cooperatives of Intellectual Property Bureau, Ministry of Economic Affairs Printed main component comparison table 1001 10 1 10 3 1 4 10 5 110 111 1 2 0 1 3 0 13 5 13 7 13 8 13 9 1 4 0, 1 4 1 14 5 14 6 1 5 Ό 1 609 1 90 Reflectance Gauge Vacuum Chuck Light Source Optical Fiber First Beam Splitter Second Beam Splitter Wafer Measurement Area Window Collimator Optical Coil Spectrometer Spectral Fiber Aperture Lens Large Field-of-View Camera Small Field-of-View Camera Autofocus Objective Lens Combination First Optical Path Plate This paper is sized for China National Standard (CNS) A4 (210 X 297 mm) -8-493205

五、發明說明(6 ) 板 7 ^ 第 詳細說明 圖1表示本發明實施例之系統硬體的全貌。圖1表示 包括:反射量測計1 〇 〇、真空夾頭1 0 1、真空夾頭對 稱軸1 0 2、光源光纖1 〇 3、第一光束分離器1 04、 第二光束分離器1 〇 5、半導體晶圓1 1 〇、量測區域 1 1 1 、窗12〇、準直器13 0、光線圈135 、第一 成像光學組合1 3 7、第二成像光學組合丨3 8、第三成 像光學組合1 39、0器(包含校準濾波器)140及 1 4 1、光譜光纖1 4 5、孔鏡1 4 6、大型視場照像機 1 5 0、小型視場照像機1 6 0、自動聚焦物鏡組合 190、第一光路板195以及第二光路板197。 半導體晶圓1 1 0係連接於真空夾頭1 〇 1,其重心 相對於實驗室係固定。然而,該真空夾頭係繞該真空夾頭 對稱軸1 〇 2而轉動。反射量測器組合1 〇 〇包括窗 1 2 0以及第一及第二光路板1 9 5及1 9 7。第一光路 板1 9 5係可沿y軸自由移動,在實施例中並以一驅動器 帶動之。第二光路板1 9 7係連接於該第一光路板,而該 第二光路板係相對該第一光路板沿X軸而移動。物鏡組合 1 9 ' 0係貼靠於該第二光路板,其係可沿z軸移動。因是 ,圖1所示之實施例具有四維的空間自由度:沿(X、y 、Z )軸移動以及真空夾頭繞該真空夾頭對稱軸之轉動。 圖1所示之實施例中,除了第二光路板上的元件之外 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -9 - --^---r------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(7 ) ’所有的光學元件係連接並相對固定於第一光路板1 9 5 。物鏡組合1 9 0係連接於第二光路板1 9 7。因是,該 物鏡組合係可自由地沿X軸移動。此外,該物鏡組合係可 沿z軸移動而聚焦於半導體晶圓1 1 〇。而第一及第二光 路板沿X、y軸移動可接近全部的晶圓表面。連接於真空 夾頭之晶圓轉動可結合該第一及第二光路板沿X、y軸的 移動,而使量測裝置得快速接近該半導體晶圓表面或減少 其間之障礙。完成涵蓋2 0 0 m m直徑之晶圓係可能的, 並可直接量測3 0 0 m m或更大直徑之晶圓。 反射量測計組合1 0 0量測半導體晶圓1 1 0上所選 擇區域,爲定位半導體的特定區域,該半導體晶圓之表面 係以大型視場照像機以及小型視場照像機取像。該大型視 場照像機具有一約2 0 m m X 2 7 m m之鏡頭,而該小型 視場照像機則具有一約1 m m X 1 · 3 m m之鏡頭。 反射測量計組合1 0 0具有一寬頻(紫外線、可見光 、紅外線)反射測量系統。在實施例中,光源(圖未示) 可以爲一光纖連接之氣氣燈,並以光源光纖1 0 3連接至 系統。光線圈1 3 5將準直光線自透鏡組合1 3 0傳送至 光束分離器1 〇 4。該光源自光源光纖直接發射通過該光 束分離器者係監控光束,該監控光束並不與量測區域 1 1/1交互作用。光束分離器所分出之光束而照在晶圓表 面上區域即係量測區域,該量測光束反射自該晶圓之表面 ,其光譜係依晶圓表面之薄膜而改變。 隨著該量測光束通過若干鏡1 3 5反射返回該光束分 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)-10 - —r—J_-----------^----^--------- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(8 ) 離器。第^成像光學組合1 3 7將該量測光束聚焦於孔鏡 1 4 6。照在孔鏡中之光係通過進入光譜光纖1 4 5之中 ’並轉成爲光譜1 4 0。該光譜係代表晶圓上薄膜最原始 資訊。 該監控光束類似上述,但通過另一不同孔鏡1 4 6及 光譜光纖1 4 5以形成光譜1 4 1。該量測之監控光譜係 代表光譜系統以及光學元件,可以校正薄膜量測光譜中關 於儀器的特性値。部份量測光束係爲孔鏡1 4 6所反射, 並重行聚焦於小型視場(S F Ο V )照像機,其所成像係 半導體晶圓1 4 5上之指示圖形。該孔鏡本身亦成像於 S F〇V,形成該晶圓圖形上一黑點。該黑點指示相對晶 圓圖形上該厚度量測之精確位置。 如上所述,半導體晶圓1 1 0之反射光及入射光相對 之光譜係可加以量測。該量測區域1 1 0上薄膜的厚度則 可由反射之 ''量測光束〃以及入射之 ''監控光束〃,以習 知技術中光學原理加以決定。 本發明超越習知技術之優點係在於以鏡陣在一空間內 加以掃描,若光束反射自鏡陣相當良好準直並對正時,掃 描將無損於系統之整表現。然而,由於散射,實際上光束 無法完全準直並對正,因是,掃描目標時光束要盡可能的 小。’在本發明中,主要的光學掃描係在第一光路板上之一 維運動,而其他二維空間中之光學掃描係相對於實驗室固 定之座標系,但僅一維空間方向(X )係相對於該第一光 路板。因是,該迴路掃描長度係不超過該晶圓之直徑。在 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -11 - --f----------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 493205 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(9 ) 習知之裝置中’該光學元件係固定,而目標掃描係二維, 其掃描長度係在二倍之晶圓直徑。 本發明之又一優點係在於不論掃描位置爲何,該光學 路徑係保持固定。因是若目標爲焦點,具有自晶圓表面之 光學反射’其光束散射之量係不會改變。習知之裝置中, 晶圓表面掃描改變所有全部的光學路徑長度,以及準直光 束之散射量。 圖1中實施例係値得特別注意,半導體晶圓1 i 〇係 置於反射量測計組合1 0 0之上。在另一實施例中,該半 導體晶圓可置於一光學系統下之水池中,可看作爲下方。 其係與圖1處理晶圓爲必要之不同,其裝置具有一側向上 。在該實施例中,該光學系統(包括主窗)可朝向該半導 體晶圓降低,抑或該半導體晶圓可朝該光學系統上升。相 .較於圖1,該實施例之系統可繞一水平軸做1 8 0度之轉 動。圖1相對之結構做一般性之轉動亦爲可能的,如9 0 度之轉動。該等轉動所產生之衝擊係在於晶圓處理之技術 〇 本發明之另一特定實施例中,在量測路徑可以不需水 的存在,亦即設備是 ''乾的〃。在如是之實施例中,設備 相對於實驗室的方向係可隨意的。如,圖1之實施例係可 將其'一側朝下或上下倒置,而有些光學則最好加以重行設 計,但也非一定必要。 如所習知者,本發明中所利用之反射性光學器件至少 具有三項優點,Fresnal反射發生在折射元件(如透鏡)的 (請先閱讀背面之注意事項再填寫本頁) 裝 --訂--- 華 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12- 經濟部智慧財產局員工消費合作社印製 493205 A7 __ B7 五、發明說明(10 ) 表面,且會成爲系統中系統化雜訊的來源。例如’即便是 沒有晶圓出現,會在光到達光檢知器之前受到物鏡的反射 影響,因是,此種光線並非代表晶圓的資$而係雜訊。相 反地,反射元件則不受F r e s n a 1反射的影響。折射兀件同時 可以在通過折射元件的兩個方向上限制光的帶寬。較佳實 施例係以具有反反射鍍層(A R C )之折射元件降低 Fresnal反射。一般而言,A R C係共振結構’可在限定之 波長範圍內良好操作,在此範圍之外,其傳輸性降低,進 而限制系統之帶寬。同時大多數物質之折射係數係波長相 關的複雜函數。折射係數的虛數部份(K )係說明光在特 定波長通過該物質的衰減。因是,許多的透鏡材質具有大 的K値,可以限制系統的波長帶寬於特定之範圍內。反射 元件之第二種優點係可避免光在通過透鏡材質時有所衰減 。第三的問題關於折射元件係其必須進行顏色校正。該折 射係數的實數部份(N ),同樣係波長之函數。N値影響 透鏡之焦距。因是,透鏡具有彩色像差,即不同色彩在不 同距離聚焦,通常係以具光譜N値特性之材料對系統中不 同的元件進行校正。因爲,反射元件不是利用折射聚焦, 故,不會受因折射係數N變化而造成彩色像差的影響。 反射元件對孔徑及幾何尺寸上具有相當的限制,使折 射元件較適用於特定的實施例之中。在這些實施例之中, 用於半導體晶圓浸入水中之光學元件係經色彩校正。該等 光學元件之設計亦同時將水也視爲一種光學元件。 如圖1所示之實施例中,該光學量測係通過相對實驗 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -13- I—------•裝----l·—訂---------^9, (請先閱讀背面之注意事項再填寫本頁) 493205 A7 B7 五、發明說明(11 ) 室爲固定态窗1 2 0而達成,圖1之外的另一實施例係利 用一種新型的窗實施例。圖2 A說明習知裝置,該裝置具 有單一大型相對實驗室固定之窗。圖2 A之中包括:晶圓 2〇〇、晶圓表面2 0 1 、收容壁2 0 3、物鏡組合 2 07、光束分離器235、光學迴圈237以及窗 2 0 2。其係値得注意,該習知裝置係利用一單一大型窗 2 0 2。爲了精準量測,窗2 0 2必須具備相當之光學品 質。而由於窗之尺寸關係,亦會造成相當之開銷。 圖2 B係本發明之新方法,其中圖示包括:晶圓 2 0 0、柱2 0 1、小型掃描窗2 0 2、光檢知元件 20 3 、光束分離器235、鏡237、光纖2〇4、光 學組合2 0 5、照明器件2 0 6以及物鏡組合2 0 7。 圖2 B之中,部份的光學係統係相對於該物鏡組合 2〇7固定之水柱。柱2 0 1之底板係一小型窗2 0 2。 柱側2 0 9係直立且與晶圓間留有小間隔’水流自供應管 路2 0 6流入該柱中。表面張力與黏滯力之組合使水保持 在其中,由間隔的高度決定水流是繼續流入,以使水流保 持於該晶圓2 0 0與小型窗2 0 2間之柱體。額外增加之 噴出孔可用以移除氣泡,値得注意,其中該水柱形成光學 元件,特定之實施例可包括延伸之水槽。 1靑參照圖2 B,該水密掃描光學組合2 0 5具有一照 明器件2 0 6 ’其係接收來自光纖2 0 4之光線。該照明 器件傳送光束(其係可能經過準直者)’通過光束分離器 2 3 5而至物鏡組合2 0 7。物鏡組合2 0 7將該光束聚 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -14 - (請先閱讀背面之注意事項再填寫本頁) ··裝 訂---- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 493205 A7 B7 五、發明說明(12 ) 焦於該晶圓上,並收集反射光並傳送至鏡2 3 7形成光束 (準直或未準直)。該鏡偏移反射至晶圓2 0 0之光線而 進入該光檢知元件2 0 3,該檢知元件具有孔鏡光譜計以 及一辨視圖形之視覺系統(圖未示),以使該光學組件 2 0 5之精確位置得以定位於晶圓上預訂之位置。機械傳 送平台(圖未示)以其水柱及光學元件掃描該組件2 0 5 0 本發明與利用單一大型窗及水浴者相較具二項優點。 第一,目標物永遠是自同一窗之同一部份觀察,因是,對 量測品質的影響很小。(該等之影響可以藉由校準而解決 )。第二因爲其係小於習知技術之窗,其係較易於得到較 高品質之表面光度。 藉由適當設計該水柱由窗2 0 2、柱側2 0 0以及供 應管線2 0 6所決定之幾何形狀,水流可以將任何的泡泡 沖出晶圓兩側之柱體。與習知技術相較,本發明相對較小 的區域則更爲容易達成,而無需如習知技術以特別晶圓處 理器將晶圓降到水浴高度。 爲使量測有更精確之結果,本發明則以參考反射器用 以校正該量測系統緩慢變化之特性。圖3所示係具有參考 反射器之實施例。圖3則包括晶圓3 0 0、窗3 0 2、參 考反'射器309、參考容積壁310、參考容積3 1 1、 主要水容積3 0 1,物鏡組合3 0 7以及迴圈光學元件 3 3 5 ° 圖3中’參考容積壁3 1 0係將該參考容積3 1 1與 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -15 - """"" --r--------------Γ I I I ^ · I------- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(13 ) 主要水容稹3 0 1分隔,參考容積3 1 1可以注滿空氣、 水或其係合適之物質。本發明係可確認參考反射器3〇9 的特性係長時間穩定。當容積3 1 1係未充滿水時,介於 窗3 0 2與該參考反射器之間的距離可以調整,當該物鏡 組合3 0 7係以相同距離而位於該窗3 0 2之下,以使反 射器聚焦,而該晶圓係位於焦點。在較佳實例中,該容積 係以惰性固體充塡之,位於該窗3 0 2之上到該反射表面 之高度係可加以適當調整。 參考反射器3 0 9可以爲砍、熔合二氧化砂、絡或其 他惰性物質。其可包括沉積於基質之沉積物質,以造成機 械特性與光學特性之穩定。較佳實施例中,該參考反射器 包括一熔合二氧化矽基質以及鉻薄膜形成於頂表面。另一 實施例之參考反射器係以矽以及一種反射氧化層形成於一 較低之表面。 參照圖3,參考反射器309、參考容積壁3 10以 及窗3 0 2可以不同的方式組合之。較佳實施例之中,該 反射器及窗係密封於該窗,在另一實施例中,該反射器、 參考容積壁以及窗係以聚合膠,如樹脂或超級膠固定於一 體。在其他實施例中,容積3 0 9則不密封於該主容積 3 0 1之中,該等元件可連結一起或以機械元件如止子或 彈簧'固持於一處。該參考容積密封係用以預留該反射器之 反射特性,亦即避免因物質水浴後變髒或受侵蝕,如 C Μ P拋光漿。用於容積3 1 1之密封方法係防止在操作 或運送過程中,由於不同的熱膨脹係數所造成之破損或外 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -16 - r_---------裝-----^----訂---------^9. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 493205 A7 _ B7__ 五、發明說明(14 ) 漏。 θ 在較佳實施例中,參考反射器3 0 9係置於該物鏡組 合3 0 7可直接靠近處。該物鏡組合可以在一維方向上進 行掃描,並移動至該參考反射器。然而,實施例中其中該 晶圓掃描該目標,該參考反射器亦會做相同之動作。當實 施例之晶圓係在該物鏡組合之上,如圖3所示,另一實施 例則可使該物鏡組合高於該晶圓,或任意的傾斜位置。 據以上對本發明之說明,由該參考反射器3 0 9所產 生之參考光譜係間段地加以收集,隨著所收集之光譜則可 利該參考光譜計算晶圓3 0 0表面之薄膜厚度。最好係在 晶圓量測前先行收集參考光譜,有數種之方法可以使該反 射器所產生之參考光譜代入數據減少運算法之中,實施例 中,來自晶圓之每一光譜係與來自反射器之光譜正交。 校正該量測裝置則可以校正晶圓測量其所對應收集之 光譜,校正可調整上述的運算法,並可得到該校正晶圓之 正確答案。該參考光譜可以在量測時,以校正之運算法以 同樣之方法所運用,因是最後系統校正後之改變,以及目 前之量測將不會影響該運算法之結果。 如上所述,本發明實施例(見圖1及圖3 )可包含一 參考反射器以及雙頻譜儀。該量測之最初數値係爲光譜S ,其'係該系統相對反射測試下樣本之輸出値。除了樣本的 特性之外,S由照明之寬頻(紫外線、可見光、紅外線) 所決定,該光學系統、光學檢知器以及數位器以及其他之 元件則均被包括於量測系統之中。該等量測系統特性使樣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -17- --τ---'------裝-----„----訂—-------- (請先閱讀背面之注意事項再填寫本頁) 493205 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(15 ) 本貝5只模糊。因是 之影響。 本發明係利用近似正交之入射反射測量儀,以精確量 測薄膜折射係數以求得其厚度。本發明之較佳實施例中, 成本函數係建構於由儀器所量得之光譜,可用以決定厚度 ,而無需由表面的反射特性計算。 巧豕 如上所述,本發明之裝置包括一校正反射器//一參考 反射器以及一雙光束之光譜儀。量測所得之最數値係 爲光譜S ’其係代表測試下樣本反射所相應之系統輸出値 。除了測試所得之樣本特性之外,光譜s亦取決於該燈泡 、光學系統、檢知器以及數位器等量測系統之特性。 由於量測系統之特性會模糊測試樣本所得之資訊,故 精確的量測系統必須同時考量此等之因素。有部份的量測 系統特性係明顯隨時間而改變,而有些則保持定値。在本 發明之實施例之中,一電弧燈係做爲光源,電弧之閃爍十 分快速,彎折光源光纖1 0 3 (如圖1所示),以及由於 掃描所改變光路徑長度則會變化快速。電燈的老化會造成 緩慢的變化,數字孔N A係系統的一實驗性特徵,其係永 遠維持爲常數。 根據本發明,雙光譜儀可同時收集兩種光譜,一種係 樣本'之反射光譜而另一種係監控光譜,該監控光譜在測試 時不會與樣本有作用,如圖1所示。 在圖1中’測g式之樣本爲半導體晶圓1 1 〇。該樣本 可同時作爲參考反射器或校正反射器,亦如上所述者。由 精確的薄膜厚度量測係應移除該等 (請先閱讀背面之注意事項再填寫本頁) -裝 —訂----- Φ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) -18 - 493205 經濟部智慧財產局員工消費合作社印剩衣 A7 B7 五、發明說明(16 ) Η 1胃知4決定監控光譜之光路徑係與決定量測光譜之光 ϊ 各彳至相似’除了量測光譜係傳送並來自於該量測區域 1 1 1 °圖1所示係二種光束之較佳實施例,其中二光束 之光源可以是相同的。 圖1中’光分離器係將反射光束自監控光束中分離, 監控光束則直接通過該光束分離器而至該光譜儀141。 該反射光束則通過該物鏡而至該樣本,返回物鏡及光束分 離器而至一鏡’反射光束反射平行於該監控光束而至光譜 儀1 4 0 °其中圖1中在該光束分離器與鏡之間尙有許多 習知光學元件則並未加以表示,在實施例中,二光束之路 徑最好盡可能相似。當樣本處於測試時,亦即晶圓已加以 拋光’光譜S r則係反射光譜而監控光譜則爲光譜s m, 該監控光譜係用以校正系統內快速的改變,諸如:照明光 源的閃爍。 本發明可利用參考光譜S r校正系統緩慢改變的特性 ,光譜S r表示樣本在系統中非常穩定之反射情形。而同 時相應監控光譜S m亦加以收集,而每當新晶圓被置於該 儀器中,系統即可收集S r。 本發明同時可利用校正光譜S c校正系統中固定或緩 慢變化的特性,S c係自已知之樣本所取得之反射光譜。 除其'所對應之監控光譜S c m之外,包括相關之校正參考 光譜S c r以及其相應之監控光譜S c r m。後二者光譜 係在S c之前或之後加以收集,係當系統運作後開始進行 收集,時間爲一段相當長的時間’約3個月左右。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -19 - --p---,------裝-----^----訂--------- S----- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(17 ) 對熟習此項技術者而言,上述光譜係以粗略的形式加 以說明’而諸光譜則會因不同的元件而遭到破壞。檢知器 白勺日首電流以及可讀之電流均會破壞所量得之光譜。系統中 附帶產生之反射亦會破壞該等光譜,特別係該反射光譜。 因是’所有的光譜均會收集到這些不想收集到額外貢獻。 監控光譜可以由減去、、暗〃光譜而校正之,該 '、暗〃光譜 係收集照明光源被遮擋之監控光源部份。反射光譜則可以 減去該光譜儀中未反射區域的 '、暗〃光譜而加以校正之。 決定薄膜厚度之資料減少方法可附帶減少成本函數。 較佳之成本函數包括上述八個光譜以及量測之參數,以及 其他關於樣本與量測系統之資訊。在一般的應用中,可能 只有單一的參數即樣本上薄膜的厚度。在其他的實施例中 ,可以是二個參數,二薄膜層厚度,或一薄膜層厚度與另 一層影響折射之係數。同時可以超過兩個以上的參數。較 佳實施例中之成本函數係用以使量測系統各特性得以平衡 ,以減少對測試中樣本特性之取決。關於該量測系統之資 訊包括了光譜中的雜訊,用以加權計算系統之最佳化條件 。樣本之資訊包含了樣本上不同物質的厚度及光學特性等 ,如習知技術,各種型式以及參數減少的方法均係可能的 較佳之成本函數如下 ssmscrscmRc-smsn 0, 其中,λ係波長,W係加權數,R p係基於參數p所 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -20 -V. Description of the invention (6) Board 7 ^ Detailed description Fig. 1 shows the overall view of the system hardware according to the embodiment of the present invention. Figure 1 shows: reflection measurement meter 100, vacuum chuck 1 0, vacuum chuck symmetry axis 10, light source fiber 1 〇3, first beam splitter 10, second beam splitter 1 〇 5. Semiconductor wafer 1 1 0, measurement area 1 1 1, window 12 0, collimator 13 0, optical coil 135, first imaging optical combination 1 3 7, second imaging optical combination 丨 3 8, third Imaging optics 1 39, 0 (including calibration filter) 140 and 1 4 1, spectral fiber 1 4 5, aperture lens 1 4 6, large field of view camera 1 50, small field of view camera 1 6 0. Autofocus objective lens combination 190, first optical path plate 195, and second optical path plate 197. The semiconductor wafer 110 is connected to the vacuum chuck 101, and its center of gravity is fixed relative to the laboratory system. However, the vacuum chuck is rotated around the vacuum chuck symmetry axis 102. The reflection measuring device combination 100 includes a window 120 and first and second optical path plates 195 and 197. The first optical path plate 195 is freely movable along the y-axis, and is driven by a driver in the embodiment. The second optical path plate 197 is connected to the first optical path plate, and the second optical path plate is moved along the X axis relative to the first optical path plate. The objective lens assembly 19 '0 is abutted against the second optical path plate and is movable along the z-axis. Therefore, the embodiment shown in FIG. 1 has four-dimensional spatial degrees of freedom: movement along the (X, y, Z) axis and rotation of the vacuum chuck about the axis of symmetry of the vacuum chuck. In the embodiment shown in FIG. 1, in addition to the components on the second optical circuit board, the paper size applies the Chinese National Standard (CNS) A4 specification (210 x 297 mm) -9--^ --- r-- ---- Equipment ----- ^ ---- Order --------- (Please read the notes on the back before filling out this page) Printed by the Employees' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 493205 Economy Printed by A7 B7 of the Consumer Cooperatives of the Ministry of Intellectual Property Bureau V. Invention Description (7) 'All optical components are connected and relatively fixed to the first optical circuit board 195. The objective lens set 190 is connected to the second optical path plate 197. This is because the objective lens system can move freely along the X axis. In addition, the objective lens assembly can be moved along the z-axis to focus on the semiconductor wafer 1 10. The first and second optical boards can move along the X and Y axes to access the entire wafer surface. The rotation of the wafer connected to the vacuum chuck can be combined with the movement of the first and second optical path plates along the X and Y axes, so that the measurement device can quickly approach the surface of the semiconductor wafer or reduce the obstacles therebetween. It is possible to complete a wafer with a diameter of 200 mm, and directly measure wafers with a diameter of 300 mm or larger. The reflection measurement meter combination 100 measures the selected area on the semiconductor wafer 110 to locate a specific area of the semiconductor. The surface of the semiconductor wafer is taken by a large field of view camera and a small field of view camera. image. The large field-of-view camera has a lens of about 20 m x 27 mm, while the small field-of-view camera has a lens of about 1 m x 1 · 3 m. The reflectometer combination 100 has a wideband (ultraviolet, visible, infrared) reflection measurement system. In an embodiment, the light source (not shown) may be a gas light lamp connected with an optical fiber, and is connected to the system with the optical fiber 103 as the light source. The photocoil 1 3 5 transmits the collimated light from the lens combination 130 to the beam splitter 104. The light source directly emits a monitoring beam from the optical fiber of the light source through the beam splitter, and the monitoring beam does not interact with the measurement area 1 1/1. The light beam split by the beam splitter and shines on the surface of the wafer is the measurement area. The measurement beam is reflected from the surface of the wafer, and its spectrum changes according to the film on the surface of the wafer. As the measuring beam is reflected by several mirrors 1 3 5 and returned to the beam, the paper size applies the Chinese National Standard (CNS) A4 specification (210 x 297 mm) -10-—r-J _------- ---- ^ ---- ^ --------- (Please read the notes on the back before filling out this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention ( 8) Isolator. The ^ th imaging optical combination 1 3 7 focuses the measuring beam on the aperture mirror 1 4 6. The light shining on the aperture mirror passes through the spectral fiber 14 5 and is converted into the spectrum 14 0. This spectrum represents the most primitive information about the thin film on the wafer. The monitoring beam is similar to the above, but passes through a different aperture mirror 1 4 6 and a spectral fiber 1 4 5 to form a spectrum 1 4 1. The monitoring spectrum of this measurement represents the spectral system and optical components, which can correct the characteristics of the instrument in the measurement spectrum of the thin film. Part of the measurement beam is reflected by the aperture mirror 1 4 6 and refocused on a small field of view (S F 0 V) camera. The image formed is the indication pattern on the semiconductor wafer 1 4 5. The aperture mirror itself is also imaged at SFOV, forming a black spot on the wafer pattern. The black dot indicates the precise position of the thickness measurement on the wafer pattern. As described above, the relative spectrum of reflected light and incident light of the semiconductor wafer 110 can be measured. The thickness of the film on the measurement area 110 can be determined by the reflected "measurement beam 〃" and the incident "monitor beam 以" by the optical principle in the conventional technology. The advantage of the present invention over the conventional technology is that the mirror array is used to scan in a space. If the light beam is reflected from the mirror array and collimated fairly well, the scanning will not damage the overall performance of the system. However, due to scattering, the beam cannot be completely collimated and aligned, because the beam should be as small as possible when scanning the target. 'In the present invention, the main optical scanning system moves in one dimension on the first optical path plate, while the optical scanning system in other two-dimensional space is relative to the coordinate system fixed in the laboratory, but only in one-dimensional spatial direction (X) Is relative to the first optical path plate. Because the loop scan length does not exceed the diameter of the wafer. In this paper size, the Chinese National Standard (CNS) A4 specification (210 X 297 mm) is applicable. -11---f ---------- install ----- ^ ---- order-- ------- (Please read the precautions on the back before filling out this page) 493205 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (9) The conventional device is fixed in the device The target scan is two-dimensional, and the scan length is twice the wafer diameter. Yet another advantage of the present invention is that the optical path remains fixed regardless of the scanning position. Because if the target is the focus, the amount of light beam scattering that has an optical reflection from the wafer surface will not change. In the conventional device, the scanning of the wafer surface changes all the entire optical path lengths and the amount of scattering of the collimated beam. The embodiment in FIG. 1 requires special attention. The semiconductor wafer 1 i 0 is placed on the reflection measurement meter combination 100. In another embodiment, the semiconductor wafer can be placed in a pool under an optical system, which can be seen as the bottom. It is necessary to be different from the wafer processing in FIG. 1, and the device has one side facing up. In this embodiment, the optical system (including the main window) may be lowered toward the semiconductor wafer, or the semiconductor wafer may be raised toward the optical system. Compared with Fig. 1, the system of this embodiment can rotate 180 degrees around a horizontal axis. It is also possible to make a general rotation of the relative structure of Fig. 1, such as a 90 degree rotation. The impact generated by these rotations lies in the technology of wafer processing. In another specific embodiment of the present invention, there may be no need for water in the measurement path, that is, the equipment is dry. In such an embodiment, the orientation of the device relative to the laboratory is arbitrary. For example, the embodiment of Fig. 1 may have its side facing down or upside down, while some optics are best redesigned, but not necessarily necessary. As known, the reflective optics used in the present invention have at least three advantages. Fresnal reflection occurs in refractive elements (such as lenses) (please read the precautions on the back before filling this page). --- The size of Huaben paper is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) -12- Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economic Affairs 493205 A7 __ B7 V. Description of the invention (10) Surface, and Will be a source of systematic noise in the system. For example, even if no wafer appears, it will be affected by the reflection of the objective lens before it reaches the photodetector, because this kind of light does not represent the cost of the wafer and is noise. On the contrary, the reflective element is not affected by the reflection of F r e s n a 1. The refractive element can simultaneously limit the bandwidth of light in both directions through the refractive element. A preferred embodiment is to reduce Fresnal reflection by using a refractive element with a retroreflective coating (ARC). Generally speaking, the A R C series resonance structure 'can operate well within a limited wavelength range. Outside this range, its transmission property is reduced, thereby limiting the bandwidth of the system. At the same time, the refractive index of most substances is a complex function related to wavelength. The imaginary part (K) of the refractive index indicates the attenuation of light through the substance at a specific wavelength. Therefore, many lens materials have a large K 可以, which can limit the system's wavelength bandwidth to a specific range. The second advantage of the reflective element is that it prevents light from being attenuated when passing through the lens material. The third problem is that the refractive element must be color corrected. The real part (N) of the refractive index is also a function of wavelength. N 値 affects the focal length of the lens. Because the lens has chromatic aberration, that is, different colors focus at different distances, usually the different components in the system are corrected with materials with spectral N 値 characteristics. Because the reflective element is not focused by refraction, it is not affected by color aberrations caused by changes in the refractive index N. The reflective element has considerable restrictions on the aperture and geometry, making the refractive element more suitable for use in specific embodiments. In these embodiments, the optical elements used for immersing the semiconductor wafer in water are color corrected. The design of these optical elements also considers water as an optical element. In the embodiment shown in FIG. 1, the optical measurement system is based on a relative experiment. The paper size is in accordance with China National Standard (CNS) A4 (210 X 297 mm). ---- l · —Order --------- ^ 9, (Please read the notes on the back before filling this page) 493205 A7 B7 V. Description of the invention (11) The room is a fixed window 1 2 0, and another embodiment other than FIG. 1 is a new window embodiment. Figure 2A illustrates a conventional device having a single large relatively laboratory fixed window. Figure 2A includes wafer 200, wafer surface 201, receiving wall 201, objective lens assembly 07, beam splitter 235, optical loop 237, and window 202. It is important to note that the conventional device utilizes a single large window 2 02. In order to measure accurately, the window 202 must have comparable optical quality. And due to the size of the window, it will cause considerable overhead. FIG. 2B is the new method of the present invention, and the illustration includes: wafer 200, column 2 01, small scanning window 2 0 2, light detection element 20 3, beam splitter 235, mirror 237, and optical fiber 2 〇4, optical combination 205, lighting device 206, and objective lens combination 207. In Fig. 2B, part of the optical system is fixed to the water column of the objective lens assembly 207. The bottom of the column 201 is a small window 202. The column side 209 is upright with a small gap between the wafer and the water flow from the supply pipe 206 into the column. The combination of surface tension and viscosity keeps the water in it. The height of the gap determines the flow of water, which keeps the water flow in the pillar between the wafer 200 and the small window 202. An additional ejection hole can be used to remove air bubbles, it is noted that the water column forms an optical element, and a specific embodiment may include an extended water tank. 1 靑 Referring to FIG. 2B, the watertight scanning optical combination 2 0 5 has a lighting device 2 6 'which receives light from the optical fiber 2 0 4. The illuminating device transmits a light beam (which may pass through a collimator) 'through a beam splitter 2 3 5 to an objective lens combination 2 07. Objective lens combination 2 0 7 This beam is condensed. The paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) -14-(Please read the precautions on the back before filling this page) ·· Binding --- -Printed by the Consumer Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs, printed by the Employee Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed by 493205 A7 B7 V. Description of the invention (12) Focus on the wafer, collect the reflected light and transmit it to the mirror 2 3 7 Beam (collimated or uncollimated). The mirror shifts the light reflected to the wafer 200 and enters the light detection element 203. The detection element has an aperture mirror spectrometer and a vision system (not shown) to make the The precise position of the optical module 2 05 can be positioned at a predetermined position on the wafer. A mechanical transfer platform (not shown) scans the component with its water column and optical elements. The present invention has two advantages over those using a single large window and water bath. First, the target is always observed from the same part of the same window, because it has little effect on the quality of the measurement. (These effects can be resolved by calibration). Secondly, because it is smaller than the window of the conventional technology, it is easier to obtain high-quality surface luminosity. By properly designing the geometry of the water column determined by the window 202, the column side 200, and the supply line 206, the water flow can flush any bubbles out of the pillars on both sides of the wafer. Compared with the conventional technology, the relatively small area of the present invention is easier to achieve without the need to lower the wafer to the height of the water bath with a special wafer processor as in the conventional technology. To make the measurement more accurate, the present invention uses a reference reflector to correct the slowly changing characteristics of the measurement system. Figure 3 shows an embodiment with a reference reflector. Figure 3 includes wafer 300, window 3 0, reference reflector 309, reference volume wall 310, reference volume 3 1 1, main water volume 3 0 1, objective lens combination 3 7 and loop optics 3 3 5 ° In Figure 3, the reference volume wall 3 1 0 refers to the reference volume 3 1 1 and the paper size to the Chinese National Standard (CNS) A4 (210 X 297 mm) -15-" " " " " --r -------------- Γ III ^ · I ------- (Please read the notes on the back before filling this page) 493205 Ministry of Economic Affairs Printed by the Consumer Property Cooperative of Intellectual Property Bureau A7 B7 V. Description of the invention (13) The main water capacity is separated by 3 0 1 and the reference volume 3 1 1 can be filled with air, water or suitable substances. The present invention confirms that the characteristics of the reference reflector 309 are stable for a long time. When the volume 3 1 1 series is not filled with water, the distance between the window 3 0 2 and the reference reflector can be adjusted. When the objective lens combination 3 7 7 is located below the window 3 2 at the same distance, To focus the reflector while the wafer is in focus. In a preferred embodiment, the volume is filled with an inert solid, and the height from the window 302 to the reflective surface can be adjusted appropriately. The reference reflector 3 0 9 can be chopped, fused sand dioxide, or other inert substances. It may include a deposition substance deposited on the substrate to stabilize mechanical and optical characteristics. In a preferred embodiment, the reference reflector includes a fused silica substrate and a chromium film formed on the top surface. The reference reflector of another embodiment is formed on a lower surface with silicon and a reflective oxide layer. Referring to Fig. 3, the reference reflector 309, the reference volume wall 3 10, and the window 3 02 may be combined in different ways. In a preferred embodiment, the reflector and the window are sealed to the window. In another embodiment, the reflector, the reference volume wall and the window are fixed to the body with a polymer glue, such as resin or super glue. In other embodiments, the volume 309 is not sealed in the main volume 301, and the elements can be connected together or held in one place by mechanical elements such as stoppers or springs. The reference volume seal is used to reserve the reflective characteristics of the reflector, that is, to avoid being dirty or eroded by a material water bath, such as a CMP polishing slurry. The sealing method for the volume 3 1 1 is to prevent damage or damage caused by different thermal expansion coefficients during operation or transportation. The paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) -16 -r _--------- install ----- ^ ---- order --------- ^ 9. (Please read the notes on the back before filling this page) Ministry of Economy Printed by the Intellectual Property Bureau's Consumer Cooperatives 493205 A7 _ B7__ V. Explanation of Invention (14) Omission. θ In the preferred embodiment, the reference reflector 3 0 9 is placed directly close to the objective lens combination 3 7. The objective lens combination can be scanned in one dimension and moved to the reference reflector. However, in the embodiment where the wafer scans the target, the reference reflector will do the same. When the wafer of the embodiment is on the objective lens combination, as shown in FIG. 3, another embodiment can make the objective lens combination higher than the wafer, or an arbitrary inclined position. According to the above description of the present invention, the reference spectrum generated by the reference reflector 309 is collected in intervals. With the collected spectrum, the reference spectrum can be used to calculate the film thickness on the surface of the wafer 300. It is best to collect the reference spectrum before wafer measurement. There are several ways to substitute the reference spectrum generated by the reflector into the data reduction algorithm. In the embodiment, each spectrum from the wafer is The spectrum of the reflector is orthogonal. Calibrating the measuring device can correct the spectrum of the wafer to measure the corresponding collected spectrum, the adjustment can adjust the above algorithm, and get the correct answer of the calibrated wafer. The reference spectrum can be used in the same way in the calibration algorithm when measuring, because the changes after the final system calibration and the current measurement will not affect the results of the algorithm. As mentioned above, the embodiments of the present invention (see Figs. 1 and 3) may include a reference reflector and a dual spectrum analyzer. The initial value of the measurement is the spectrum S, which is the output of the sample under the system's relative reflection test. In addition to the characteristics of the sample, S is determined by the wide band of illumination (ultraviolet, visible, infrared). The optical system, optical detector, digitizer, and other components are included in the measurement system. The characteristics of these measurement systems make the sample paper size applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -17- --τ ---'------ install ----- „- --- Order —-------- (Please read the notes on the back before filling this page) 493205 A7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy Because of the effect. The present invention uses an approximately orthogonal incident reflection measuring instrument to accurately measure the refractive index of a thin film to obtain its thickness. In a preferred embodiment of the present invention, the cost function is constructed by the instrument. The obtained spectrum can be used to determine the thickness without calculating the reflection characteristics of the surface. As mentioned above, the device of the present invention includes a calibration reflector // a reference reflector and a two-beam spectrometer. The maximum number is the spectrum S ′, which represents the system output corresponding to the reflection of the sample under test. In addition to the characteristics of the sample obtained from the test, the spectrum s also depends on the amount of the bulb, optical system, detector, and digitizer. The characteristics of the measurement system. The information obtained from the test sample is accurate, so an accurate measurement system must consider these factors at the same time. Some measurement system characteristics change significantly with time, while others remain fixed. In the embodiment of the present invention An arc lamp is used as the light source, the arc flashes very quickly, the light source fiber 103 is bent (as shown in Figure 1), and the length of the light path is changed rapidly due to the scanning. The aging of the electric lamp will cause a slow According to the present invention, a dual spectrometer can simultaneously collect two kinds of spectra, one is a sample's reflection spectrum and the other is a monitoring spectrum. The monitoring spectrum During the test, it will have no effect on the sample, as shown in Figure 1. In Figure 1, the sample of the "g measurement type" is a semiconductor wafer 1 1 0. This sample can be used as a reference reflector or a correction reflector at the same time, as described above. This should be removed by an accurate film thickness measurement system (please read the precautions on the back before filling this page)-binding-binding ----- Φ This paper size applies to Chinese national standards CNS) A4 specification (210 x 297 mm) -18-493205 Employees ’cooperatives printed leftovers A7 B7 in the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (16) Η 1 stomach knowledge 4 The light path system and the amount to determine the monitoring spectrum The light of the spectrum measurement is similar to each other except that the measurement spectrum is transmitted from the measurement area 1 1 1 ° The preferred embodiment of the two types of beams shown in Figure 1, where the light sources of the two beams can be the same In Figure 1, the 'optical splitter' separates the reflected beam from the monitoring beam, and the monitoring beam directly passes through the beam splitter to the spectrometer 141. The reflected beam passes through the objective lens to the sample, returns to the objective lens and beam splitting The reflector reflects a beam parallel to the monitor beam to the spectrometer 140 °. Among them, there are many conventional optical elements between the beam splitter and the mirror in FIG. 1, which are not shown in the embodiment. The path of the two beams is preferably as similar as possible. When the sample is under test, that is, the wafer has been polished, the spectrum S r is a reflection spectrum and the monitoring spectrum is a spectrum sm. The monitoring spectrum is used to correct rapid changes in the system, such as flicker of the illumination light source. The invention can use the reference spectrum S r to correct the slowly changing characteristics of the system. The spectrum S r represents a very stable reflection of the sample in the system. At the same time, the corresponding monitoring spectrum S m is also collected, and whenever a new wafer is placed in the instrument, the system can collect S r. At the same time, the invention can use the correction spectrum S c to correct the characteristics of fixed or slow change in the system. S c is the reflection spectrum obtained from a known sample. In addition to its corresponding monitoring spectrum S c m, it includes the relevant corrected reference spectrum S c r and its corresponding monitoring spectrum S c r m. The latter two spectra are collected before or after S c, and are collected when the system is in operation for a considerable period of time 'about 3 months. This paper size applies to China National Standard (CNS) A4 specification (210 X 297 mm) -19---p ---, ------ pack ----- ^ ---- order --- ------ S ----- (Please read the notes on the back before filling this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description (17) Familiar with this technology In other words, the above-mentioned spectra are described in a rough form, and the spectra will be destroyed by different components. Detector The first current and the readable current will destroy the measured spectrum. The incident reflections in the system will also destroy these spectra, especially the reflection spectrum. Because ‘all spectra will collect these unwanted contributions. The monitoring spectrum can be corrected by subtracting the dark spectrum, the dark spectrum, which collects the part of the monitoring light source where the illumination light source is blocked. The reflection spectrum can be corrected by subtracting the ', dark spectrum of the unreflected area in the spectrometer. Data reduction methods that determine film thickness can have a cost reduction function attached. A better cost function includes the above eight spectra and measurement parameters, and other information about the sample and measurement system. In general applications, there may be only a single parameter, the thickness of the film on the sample. In other embodiments, it may be two parameters, the thickness of two thin film layers, or the coefficient of one thin film layer and another layer affecting the refractive index. More than two parameters can be used at the same time. The cost function in the preferred embodiment is used to balance the characteristics of the measurement system, so as to reduce the dependence on the characteristics of the samples in the test. Information about the measurement system includes noise in the spectrum to weight the optimization conditions of the system. The information of the sample includes the thickness and optical characteristics of different substances on the sample. For example, conventional techniques, various types and methods of parameter reduction are all possible better cost functions as follows: Weighting number, R p is based on the paper size of parameter p. Applicable to China National Standard (CNS) A4 (210 X 297 mm) -20-

--訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 493205 A7 ____B7_ 五、發明說明(18 ) 計算之理論反射値,R r係另一基於校正反射器所計算之 理論反射値。所有的光譜、反射値及加權値均係波長之函 數。分子包括二項C7 1 = S S rmS c r S cmRc以及 crl = SmSrSCSci'mRp,各項均相同受系統之 特性所影響。因是,該系統之特性不影響其最小値。如: S及S m具有相同的光源閃爍速度,如s r m及S r 1系 統之數値孔(N A )在S及S r 1等均具相同之影響。許 多版本之成本函數係同存在於對本發明之教示中,並直至 量測系統之影響係各自抵消。與上述者相較,簡化的版本 係同存於本發明中,如將該監控光譜移除、或缺少與反射 器相關之四種光譜。除上述平方法外之盡可能最小化方法 ’如mi n i — ma X或L 1方法。 値得注意上述中之R P及R r係理論値而非量測反射 値。因是,本發明之方法並非利用如一些習知技術中之絕 對量測或相對量測方法所得之光譜。 快速將晶圓對正於該光學系統係本發明之另一重點, 圖4所示係本發明之晶圓對正器之實施例。圖4中包括: 晶圓4 0 3、轉動夾頭4 0 2、馬達4 1 2、水4 0 4、 窗4 0 5、水之高度4 1 0、馬達外殼4 0 0、轉動密封 件4〇1 、光源4 ◦ 7、光線4 1 3、對正窗4〇8、檢 知器'4 0 6以及水槽壁4 1 1。 圖4中,轉動夾頭4 0 2固持晶圓4 0 3 ,馬達 4 1 2帶動該轉動夾頭繞一軸(圖未示)轉動。水4 0 4 係充滿於該主窗4 0 5上方至水高度4 1 〇,並超過水槽 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -21 - 11.---------•裝----I.--- —訂------- 丨蠢L (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(19 ) 壁4 1 1 %轉動密封件4 0 1係將馬達外殼4 0 0與水隔 絕’光源4 0 7同樣係在一乾燥之殼體中。該光源產生光 41 3通過對正窗408,自該乾燥殼體進入水中。檢知 器係位於窗4 0 5下方之乾燥容積中,一些光4 1 3係打 到晶圓4 0 3而被遮擋,其餘的光則通過主窗4 0 5,進 入其下方之乾燥容積中,而照射至該檢知器之上。 轉動夾頭4 0 2轉動晶圓4 0 3,當晶圓轉動時,該 晶圓之邊緣係直接在檢知器上方徑向移動(圖4中由左至 右)。該徑向的運動係肇因於晶圓偏離該夾頭之中心或是 晶圓本身並不很圓。除了機械加工之裕度外,夾頭上的平 坦部份及缺口亦會造成晶圓不圓的情形。 檢知器4 0 6上方晶圓4 0 3邊緣的徑向運動係改變 光線4 1 3照射在檢知器上的陰影,該檢知器可以爲單一 長形檢知器,亦即成像二極體,或陣列式檢知器,亦即 c C D。在前述的情形中,光落於檢知器的量係指示晶圓 邊緣位置,當晶圓4 0 3之邊緣移至圖4之位置時,落在 該檢知器之光則減少。一般而言,檢知器之輸出,I ,係 爲晶圓邊緣位置X e之函數 I = f ( X e ) ( 1 ) 其係非必要爲線性但必須爲單一性,因是其反式 X e = f - 1 ( I ) (2) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -22 - 訂---------3b (請先閱讀背面之注意事項再填寫本頁) 裝·丨 493205 A7 B7 五、發明說明(2〇 可以用以決定該邊緣之位置 在另一實施例中,該檢知器可包含陣列式之檢知器, 各陣列中之元件具有不同位置X a。在此情形下,光落於 不同檢知元件之密度可以一波形表示: I(Xa)二 g(Xe) (3) 其可處理爲一運算法,h如下 X e = h ( I ( X a ) ) (4) 函數g及f將因波動光學而更加複雜化(圖4說明係 粒子光學),而校正將決定f及g。 圖5係說明晶圓對正器之校正。圖5包括:螺旋晶圓 50〇、夾頭503、螺旋邊緣504及5〇5、檢知器 506以及光源507。 經濟部智慧財產局員工消費合作社印製 —h------------ (請先閱讀背面之注音?事項再填寫本頁) 螺旋晶圓5 0 〇之厚度可比照於矽晶圓,其係以雙層 、乾淨、可機械加工、不透明之金屬,如不銹鋼所製成, 其機械係數係可確保其中心對正於該夾頭5 0 3之中心。 當夾'頭轉動時,該螺旋邊緣5 0 4及5 0 5遮住來自光源 5 0 7到達檢知器5 0 6之光線量。當螺旋轉動時,該系 統會記錄檢知器之輸出,該輸出係爲角度函數。該螺旋邊 緣5 0 5之半徑係不連續,當該螺旋係位於該檢知器之上 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-23 - 493205 A7 B7 五、發明說明(21 ) 。該螺旋;^半徑係爲角位移之函數,並自不連續處5 0 5 起。因是,函數g(Xe)或f (Xe)可被加以記錄, 因是,f — 1或h可以利用真的晶圓而加以計算。 上述量測之所得可計具自I起包含f 1或h之3 6〇 度轉動範圍內,晶圓上平坦部或缺角之位置,以及晶圓中 心相對於夾頭的位置。 圖6係本發明改良晶圓對正精度之另一實施例。圖6 中包括光束分離器6 0 0、透鏡6 0 1、參考檢知器 602、光源6 0 7、窗6〇8、光線6 13、準直透鏡 6 1 0以及晶圓6 0 6。 一般,該光源6 0 7之強度可以時間及溫度函數而變 化。爲校正及補償此一部份,部份光可被光束分離器 6〇0所曲折,並爲透鏡6 0 1所聚焦,並爲參考檢知器 6 0 2所檢知。參考檢知器之輸出可以用做控制光源的強 度,或校正光源變化所轉換之數據。 圖6表示另一種照明方法,其中該光源6 0 7產生發 散光。在實施例中,透鏡6 1 3係準直成爲光線6 1 3。 在另一實施例中則可利用一準直光源,其他的實施例則可 利用接著光源的散光元件,使調和該光源之空間模式。 圖7說明利用一大型視區(L F〇V )照像機與一小 型視'區(S F〇V )照像機避免摸索定位晶圓特定區域。 圖7包括晶體700 、LF〇V7〇2 、SFOV703 、LF〇V圖像7〇4以及SF〇V圖像7〇1 。 L F〇V 7 0 2係通常大於晶體7 0 0,且在晶圓中 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-24 - (請先閱讀背面之注意事項再填寫本頁) ▼裝 訂· 經濟部智慧財產局員工消費合作社印製 493205 A7 B7 五、發明說明(22 ) (請先閱讀背面之注意事項再填寫本頁> 心位置大過某一特定程度。因是,其可被移至不定向之晶 圓之晶體上可找到L F〇V圖像7 0 4的位置。一旦發現 L F〇V圖像後,該系統則可了解晶圓的方向以及其中心 的位置。因是其可定位該SF0V7 0 3於該SFOV圖 像7 0 1之上。此一步驟具有決定性之時間,其所花的時 間短於僅用S F〇V者,或者是實際去對正晶圓者。 圖8說明利用L F〇V照像機可輕易地在訓練中決定 晶體的尺寸。圖8中包括晶體8 〇 〇、內晶體街徑8 4 0 、內晶體巷徑8 5 0、晶體特徵8 0 5 a - c、大型視區 8〇2 、小型視區圖像8〇3 、8〇4及8〇1以及量測 站8〇6 。 經濟部智慧財產局員工消費合作社印製 爲了訓練,操作者可觀看該晶圓之一側,且甚者可將 該晶圓定位,以使內晶體街徑8 4 0以及巷徑8 5 0呈現 爲垂直以及水平,如圖8所示。然而,如是之晶圓方向並 非必要,在其他實施例中亦可以其他方向定位。粗步估計 晶體尺寸可以利用在三側之操作者分別選定之晶體特徵如 8 0 5 a - c ,而系統可在晶圓上不同晶體上用圖像辨識 以及藉由L F〇V及/或S F〇V照像機定位L F〇V及 /或SFOV圖像804及/或801 ,以取得晶體精確 的尺寸。以此方法,操作者無需事先知道晶體之尺寸。 'L F〇V照像機之優點係易於訓練操作者連結量測站 以及在S F〇V中圖像在晶圓上的位置。理想而言,該大 型視區包括全部的晶體,如圖8所示。利用大型視區 802 ’操作者可以SF〇V1〇3選擇晶體8〇0之區 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -25 - 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(23 ) 域。相似於利用地圖巡航特定之城市。一旦該S F Ο V適 當定位後,操作者可精確地選擇S F Ο V圖像8 0 1以及 該量測站8 0 6,如此類似在地圖上以交線精確找到正確 的城市。 實施例中,在一晶體上具有多樣性之量測站,在此情 形中不同的量測站可具有不同量測之層δ堆ό。該厚度運算 法,亦即前述參數極小化之成本函數,一般需要事前之資 訊,關於量測後各堆的運算配方。晶體上不同的層堆中有 多個量測站,或具有一般運算配方以配合不同的層堆。 本發明另一重點係一用於目標光學件自動對焦系統。 圖9表示一光譜自動對焦系統。圖9中包括:感應器組合 99 3、照明光源99 1、檢知器992、感應器990 、表面902 、9〇7及92〇、軸996、照明光束 900以及反射光束904。 感應器組合9 9 3中的元件,照明光源9 9 1、檢知 器9 9 2以及感應器9 9 0係相對固持。表面9 0 2係名 義表面與該組合9 9 3間具有一正確距離Ζ,其係正交於 感應器9 9 6之軸。表面9 0 7係自該名義表面位移t距 離。Γ係量自該感應器990之軸996,表面920係 與該名義表面保持斜角4。該自動聚焦系統元件係照明光 源9 '9 1以及檢知器9 9 2。照明光源9 9 1產生斜照明 光束900而檢知器992檢知反射自表面902、 9 0 7或9 2 0的反射光束9 0 4。當該表面係不是傾斜 時,光束與感應器9 9 6之夾角爲0,整個組合9 9 3係 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -26 ---Order --------- (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 493205 A7 ____B7_ V. Description of the invention (18) Theoretical reflection of calculations 値, R r is another theoretical reflection 値 calculated based on the corrected reflector. All spectra, reflection chirps, and weighted chirps are a function of wavelength. The numerator includes two terms C7 1 = S S rmS c r S cmRc and crl = SmSrSCSci'mRp, all of which are affected by the characteristics of the system. Because the characteristics of the system do not affect its minimum value. For example: S and S m have the same light source flickering speed. For example, the number of perforations (NA) of the s r m and S r 1 systems have the same effect on S and S r 1. Many versions of the cost function also exist in the teachings of the present invention, and until the impact of the measurement system is offset each. Compared with the above, a simplified version is also co-existed in the present invention, such as removing the monitoring spectrum, or missing four kinds of reflector-related spectra. In addition to the above-mentioned flat method, minimize the method as much as possible, such as the mi n i — ma X or L 1 method. It should be noted that R P and R r in the above are theoretical 値 and not measured reflection 値. Therefore, the method of the present invention does not use the spectrum obtained by an absolute measurement or a relative measurement method as in some conventional techniques. Quickly aligning the wafer to the optical system is another focus of the present invention. FIG. 4 shows an embodiment of the wafer aligner of the present invention. Figure 4 includes: wafer 4 0 3, rotating chuck 4 0 2, motor 4 1 2, water 4 0 4, window 4 0 5, water height 4 1 0, motor housing 4 0 0, rotating seal 4 〇1, Light source 4 ◦ 7, Light 4 1 3, Alignment window 408, Detector '406, and Sink wall 411. In FIG. 4, the rotation chuck 4 2 holds the wafer 403, and the motor 4 1 2 drives the rotation chuck to rotate about an axis (not shown). Water 4 0 4 is filled above the main window 4 05 to a water height of 4 1 0 and exceeds the water tank. The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -21-11 .-- ------- • Equipment ---- I .--- --Order ------- 丨 Stupid L (Please read the precautions on the back before filling out this page) 493205 Employees of Intellectual Property Bureau, Ministry of Economic Affairs Printed by the Consumer Cooperative A7 B7 V. Description of the invention (19) The wall 4 1 1% rotating seal 4 0 1 is to isolate the motor housing 4 0 from water. The light source 4 7 is also housed in a dry casing. The light source generates light 413 through the alignment window 408 and enters the water from the dry housing. The detector is located in the drying volume below the window 405. Some light 4 1 3 hits the wafer 403 and is blocked. The rest of the light passes through the main window 405 and enters the drying volume below it. It is irradiated onto the detector. Rotating the chuck 4 02 rotates the wafer 403. When the wafer rotates, the edge of the wafer is directly moved radially above the detector (from left to right in Figure 4). The radial motion is caused by the wafer being deviated from the center of the chuck or the wafer itself is not very round. In addition to the margin of machining, the flat parts and notches on the chuck can also cause wafers to be out of round. The radial movement of the edge of the wafer 4 0 3 above the detector 4 6 changes the shadow of the light 4 1 3 on the detector. The detector can be a single long detector, which is an imaging diode. Body, or array detector, also known as c CD. In the foregoing case, the amount of light falling on the detector indicates the edge position of the wafer. When the edge of the wafer 403 moves to the position of FIG. 4, the light falling on the detector decreases. In general, the output of the detector, I, is a function of the wafer edge position X e, I = f (X e) (1), which is not necessarily linear but must be unitary because of its trans-X e = f-1 (I) (2) This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) -22-Order --------- 3b (Please read the Note: Please fill in this page again.) · 493205 A7 B7 V. Description of the invention (20 can be used to determine the position of the edge. In another embodiment, the detector may include an array type detector, each array The elements in it have different positions X a. In this case, the density of light falling on different detection elements can be represented by a waveform: I (Xa) two g (Xe) (3) It can be processed as an algorithm, h is as follows X e = h (I (X a)) (4) The functions g and f will be further complicated by wave optics (Figure 4 illustrates particle optics), and the correction will determine f and g. Figure 5 illustrates wafer pairs Correction of the positive device. Figure 5 includes: spiral wafer 50, chuck 503, spiral edges 504 and 505, detector 506, and light source 507. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs —H ------------ (Please read the note on the back? Matters before filling out this page) The thickness of the spiral wafer 500 can be compared with the silicon wafer, which is double-layered and clean. Mechanically opaque metals, such as stainless steel, have a mechanical coefficient that ensures that the center is aligned with the center of the chuck 50. When the chuck is turned, the helical edges 5 0 4 and 5 0 5 blocks the amount of light from the light source 5 0 7 reaching the detector 5 0 6. When the spiral rotates, the system records the output of the detector, which is a function of the angle. The radius of the spiral edge 5 0 5 The system is discontinuous. When the spiral system is located on the detector, the size of the paper is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -23-493205 A7 B7 V. Description of the invention (21). ; ^ Radius is a function of angular displacement and starts at the discontinuity of 5 0 5. Because the function g (Xe) or f (Xe) can be recorded, because f-1 or h can be used as true The wafer can be calculated. The above measurement can be calculated from the position of the flat part or the missing corner on the wafer within the 360 ° rotation range including f 1 or h from I. And the position of the wafer center relative to the chuck. Figure 6 is another embodiment of the improved wafer alignment accuracy of the present invention. Figure 6 includes a beam splitter 600, a lens 600, and a reference detector 602. , Light source 6 0 7, window 6 0 8, light 6 13, collimator lens 6 10 and wafer 6 06. Generally, the intensity of the light source 6 7 can be changed as a function of time and temperature. In order to correct and compensate for this part, part of the light can be twisted by the beam splitter 600, focused by the lens 601, and detected by the reference detector 602. The output of the reference detector can be used to control the intensity of the light source or to correct the data converted by the light source change. Fig. 6 shows another lighting method, in which the light source 607 generates divergent light. In the embodiment, the lens 6 1 3 is collimated to become the light 6 1 3. In another embodiment, a collimated light source may be used, and in other embodiments, a light diffusing element connected to the light source may be used to harmonize the spatial mode of the light source. FIG. 7 illustrates the use of a large field of view (L FOV) camera and a small field of view (S FOV) camera to avoid fumbling to locate a specific area of a wafer. FIG. 7 includes crystal 700, LFOV702, SFOV703, LFOV image 704, and SFOV image 701. LF〇V 7 0 2 series is usually larger than crystal 7 0, and the paper size in the wafer applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -24-(Please read the precautions on the back before (Fill in this page) ▼ Binding Printed by the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 493205 A7 B7 V. Description of Invention (22) (Please read the precautions on the back before filling in this page> Heart position is greater than a certain level. Because it can be moved to the crystal of the non-oriented wafer, where the LFOV image 704 can be found. Once the LFOV image is found, the system can know the orientation of the wafer and its center Because it can locate the SF0V7 0 3 on the SFOV image 7 0 1. This step is decisive, it takes less time than only using SF0V, or it is actually correct Positive wafer. Figure 8 shows that the size of the crystal can be easily determined during training by using the LFOV camera. Figure 8 includes the crystal 800, the inner crystal street diameter 8 4 0, and the inner crystal lane diameter 8 5 0 , Crystal features 80 5 a-c, large viewing area 802, small viewing area images 803, 804, and 8 1 and measurement station 806. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs for training, the operator can view one side of the wafer and even position the wafer so that the inner crystal street diameter is 8 4 0 and lane diameter 8 5 0 appear vertical and horizontal, as shown in Figure 8. However, if the wafer orientation is not necessary, it can be positioned in other directions in other embodiments. The rough step estimates the crystal size can be used in three The crystal characteristics selected by the operator on the side are 80 5 a-c, and the system can identify images on different crystals on the wafer and locate LF〇V with LF〇V and / or SF〇V cameras. And / or SFOV images 804 and / or 801 to obtain the exact size of the crystal. In this way, the operator does not need to know the size of the crystal in advance. The advantage of the LFOV camera is that it is easy to train the operator to connect to the measurement station And the position of the image on the wafer in SFOV. Ideally, this large viewing area includes all the crystals, as shown in Figure 8. Using the large viewing area 802 ', the operator can select the crystal at SFOV10. The standard of this paper is applicable to Chinese national standards (CNS) A4 specifications (210 X 297 mm) -25-493205 A7 B7 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy V. Invention description (23) domain. It is similar to using a map to cruise a specific city. Once the SF 〇 After V is properly positioned, the operator can accurately select the SF 0 V image 8 0 1 and the measurement station 8 0 6, which is similar to accurately finding the correct city with an intersection on a map. In an embodiment, on a crystal There are various measurement stations. In this case, different measurement stations may have different measurement layers. This thickness algorithm, which is the cost function for minimizing the aforementioned parameters, generally requires prior information about the calculation formulas for each stack after measurement. There are multiple measurement stations in different layer stacks on the crystal, or there are general calculation formulas to match different layer stacks. Another important point of the present invention is an autofocus system for a target optic. Figure 9 shows a spectral autofocus system. 9 includes: a sensor combination 99 3. an illumination light source 99 1. a detector 992, a sensor 990, a surface 902, 907, and 920, a shaft 996, an illumination beam 900, and a reflected beam 904. The components in the sensor combination 9 9 3, the illumination light source 9 9 1, the detector 9 9 2 and the sensor 9 9 0 are relatively fixed. The surface 9 0 2 has a correct distance Z between the nominal surface and the combination 9 9 3, which is orthogonal to the axis of the sensor 9 9 6. The surface 9 0 7 is a distance t from the nominal surface displacement. The Γ system is measured from the axis 996 of the sensor 990, and the surface 920 maintains an oblique angle 4 with the nominal surface. The components of the autofocus system are an illumination light source 9'9 1 and a detector 9 9 2. The illumination light source 9 9 1 generates an oblique illumination light beam 900 and the detector 992 detects a reflected light beam 9 0 4 reflected from the surface 902, 907, or 9 2 0. When the surface system is not inclined, the angle between the light beam and the sensor 9 9 6 is 0, and the entire combination 9 9 3 series. The paper size applies to the Chinese National Standard (CNS) A4 (210 X 297 mm) -26-

tr---------Φ (請先閱讀背面之注意事項再填寫本頁) A7tr --------- Φ (Please read the notes on the back before filling this page) A7

493205 五、發明說明(24 相對於表面而上下移動,自動聚焦系統之目標係設定在距 離Γ = 0,藉此介於感應器9 9 0與表面9 0 2間距離係 Ζ ’與斜角4無關。該系統藉基於檢知器之輸出而調整組 合之高度而完成設定。 圖1 0係說明一不對稱光譜自動對焦系統。圖1 〇 1 中包括斜照明光束1 0 1 1名義反射表面1 〇 〇 2、反射 點10 03 、反射光束1〇〇4、檢知器平面1005 、 名義檢知點1 0 0 6、曲射平面1 0 0 7、位移反射點 1 0 0 8 、位移反射光1〇〇9以及位移曲射點1〇1 〇 一照明光源(雷射、成像二極體、白光、非連貫性、 連貫性)產生斜照明光束1 0 1 1通過一名義反射表面 10 02,該光束撞擊在反射點1003之表面,該處產 生反射光束1 0 0 4。特別的實施例可以注意在照明光源 於該名義反射表面之上,在其他實施例中,該照明光源可 以非聚焦的,該反射光束撞擊在檢知器平面1 0 0 5於名 義檢知點1 0 0 6。若該反射表面係位移至其他位置,如 1 0 07,將造成一位移反射點1 008、一位移反射光 線1 0 0 9、以及一位移檢知點1 〇 1 〇。因是表面向下 移動則提升檢知點1 0 1 0自該名義檢知點1 0 0 6之檢 知位'移f 1。該檢知器可爲二格檢知器、一位置感應檢知 器(P S D )、或一 C C D陣列、或其他空間探知光學檢 知器。該自動聚焦系統則可調整該感應器組合在該表面上 之距離以使f 1爲零。 —^—J------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 「27 _ 經濟部智慧財產局員工消費合作社印製 493205 A7 _____ B7 五、發明說明(25 ) 圖1 i說明非對稱空間自動聚焦方法之傾斜靈敏度。 圖1 1中包括:斜照明光束1 100、名義反射表面 1 1 2〇、反射點1 1〇3、反射光束1 104、檢知平 面1 1〇5 、名義檢知點1 1〇6 、曲射平面1 1〇7 、 位移反射光線1 1 2 2以及位移檢知點1 1 2 3。 當該表面1 1 20產生一傾斜反射光束1 1 22,其 在檢知器平面上之位置1 1 2 3係由於該傾斜造成自該名 義檢知點1 1 0 6位移f 2。在實施例中,孔至樣本間之 距離係實質校正,然而,該自動聚焦可使該孔至不同的高 度以補償位移量f 2。新的高度可有因光學系統中傾斜所 造成之誤差。 圖1 2表示本發明自動聚焦系統之一實施例,圖1 2 中包括組合1 2 9 3、燈1 2 9 1、球面鏡1 2 5 0、檢 知器平面1 2〇5、透鏡1 29 5、光束分離器1 294 、反射光束1 204、光束1 200、量測表面1 20 2 以及檢知器1 2 9 2。 圖1 2所示之實施例係對於表面的位移靈敏,但不對 於表面之傾斜則不靈敏。在實施例中,檢知器1 2 9 2係 與燈泡1 2 9 1位於該組合1 2 9 3之同一側。球面鏡 1 2 5 0在習知裝置中在檢知器平面上原有的位置,透鏡 1 2'9 5選擇地將光束聚焦集中於晶圓或成像二極體之一 點範圍內。光束分離器1 2 9 4則可使該檢知器位於與燈 泡相同之光學位置。鏡1 2 5 0係選擇可使其焦距爲名義 反射光束1 2 0 4距離的一半’因是’成像表面係位於該 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -28 - --^---------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(26 ) 鏡上。圖i 1中之系統係不左右對稱的,而光僅係由左通 至右。而圖1 2所示之系統則係左右對稱的,光可由右貫 通至左,亦可由左通至右。 圖1 3說明利用對稱空間自動聚焦而得到對表面位移 量所增加之靈敏度。圖1 3中,斜光束1 3 0 0、進入孔 1301、照明源1391、檢知器1392、聚焦平面 1 3 14、名義反射點1 303、名義反射光束1 304 、鏡1320、名義檢知點1305、位移表面1307 、位移反射點1 3 1 0、目標點1 3 1 5、返回反射光束 1 3 1 3、鏡反射點1 3 1 2、成像點1 3 1 6、位移第 二反射點1 3 1 7、再反射光束1 3 1 8以及檢知點 13 19° 圖1 3中,照明光源1 3 9 1以及檢知器1 3 9 2係 可供光穿過,因是在圖中該斜光束1 3 0 0通過該檢知器 ,而在實際上則不可能。然而,如習知技術者,此種幾何 上的影響可以圖1 3中所示之光分離器達成,或藉由以相 反方向移動該進入孔1 3 0 1以及檢知器。該鏡具有一聚 焦平面1 3 1 4並成像於其本身,該聚焦平面之中心係位 於該名義反射點1 3 0 3,並正交於該名義反射光束 1 3 0 4。對該名義表面,該鏡1 3 2 0係沿其路徑將反 射光'束1 3 0 4反射回去。此一返回反射光束係再反射自 晶圓的名義反射點1 3 0 3,並沿如該斜光束1 3 0 0相 同路徑返回該檢知器。該名義曲射點1 3 0 5係位於沿該 斜光束1 300之路徑上。對於該位移表面1 307,該 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -29- i>---------裝-----^----訂----- (請先閱讀背面之注咅?事項再填寫本頁) 拳 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(27 ) 斜光束反_自位移反射點1 3 1 0。該反射光束通過聚焦 平面1 3 1 4之目標點]_ 3 1 5。該返回之反射光束 1 3 1 3係自該鏡反射點1 3 1 2通過該成像點1 3 1 6 而至位移第二反射點1 3 1 7。該再反射光束1 3 1 8則 在該檢測點1 3 1 9撞擊檢知器,其係距離該名義檢知點 f 3之處。該檢知距離f 3係大於四倍的相似自動聚焦系 統之檢知距離f 1。當(增加,光線間之角度如1 3 1 3 及1 3 0 4會變大且靈敏度增加。因是,圖1 3中之對稱 系統靈敏度係大於或等於等效非對稱系統的四倍。 圖1 4表示該對稱空間自動聚焦系統的不靈敏度。圖 1 4中包括:表面1 4 0 2、斜面1 4 2 0、斜光線 1 4 0 0、反射光線1 4 0 4、反射光線1 4 2 1、鏡 142 2以及檢知器平面1 426。 圖1 4中表面1 4 2 0係位於距離該感應器組合之正 確距離處,但以角度4傾斜。自鏡1 4 2 2反射之反射光 線1 4 2 1係接I買傾斜於該名義反射光線,但係成像於該 鏡之自身,因是,該反射光線擊中在該檢知平面之名義點 1 4 0 3的檢知器。因是,以該對稱系統將無因傾斜所造 成之誤差偏移產生。 S S A方法之優點係於其可以直接的方式架構之,以 產生'線性之誤差訊號,而自動聚焦位置則爲零橫移。來自 於任一線成像檢知器(C C D、P S D或bi-cell )差分訊 號可用以提供該誤差訊號回饋至正確的焦距。該S S A操 作運動範圍係由光學元件之f /#、成像檢知器尺寸以及 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -30 - |>—"·------·裝—l·—訂--------- (請先閱讀背面之注意事項再填寫本頁)493205 V. Description of the invention (24 moves up and down relative to the surface, the goal of the autofocus system is set at a distance Γ = 0, so that the distance between the sensor 9 9 0 and the surface 9 0 2 is ′ Z ′ and the oblique angle 4 Nothing. The system completes the setting by adjusting the height of the combination based on the output of the detector. Figure 1 0 illustrates an asymmetric spectral autofocus system. Figure 1 〇1 includes an oblique illumination beam 1 0 1 1 Nominal reflection surface 1 〇〇2, reflection point 10 03, reflected light beam 〇04, detector plane 1005, nominal detection point 1 0 6, curved surface 1 0 0 7, displacement reflection point 1 0 0 8, displacement reflected light 1 〇09 and the displacement curve point 101. An illumination light source (laser, imaging diode, white light, discontinuity, coherence) generates an oblique illumination beam 1 0 1 1 passing through a nominal reflecting surface 10 02, the beam The impact hits the surface of the reflection point 1003, where a reflected beam 1 0 4 is generated. In a special embodiment, it can be noted that the illumination light source is above the nominal reflection surface. In other embodiments, the illumination light source may be unfocused. The reflected beam hits the detector flat 1 0 0 5 is at the nominal detection point 1 0 0 6. If the reflecting surface is displaced to another position, such as 1 07, a displacement reflection point 1 008, a displacement reflection light 1 0 0 9 and a displacement will be caused. Detection point 1 〇1 〇. As the surface moves downwards, the detection point 1 0 1 0 is moved from the nominal detection point 1 0 6 to the detection position 'f 1. The detector can be a two-cell Detector, a position sensing detector (PSD), or a CCD array, or other spatial detection optical detector. The autofocus system can adjust the distance of the sensor combination on the surface so that f 1 is Zero. — ^ — J ------ Equipment ----- ^ ---- Order --------- (Please read the notes on the back before filling this page) Intellectual Property of the Ministry of Economic Affairs The paper size printed by the Bureau ’s Consumer Cooperatives applies the Chinese National Standard (CNS) A4 specification (210 X 297 public love) “27 _ Printed by the Employees’ Cooperatives of the Intellectual Property Bureau of the Ministry of Economy 493205 A7 _____ B7 V. Description of the invention (25) 1 i illustrates the tilt sensitivity of the asymmetric spatial autofocus method. Figure 1 1 includes: oblique illumination beam 1 100, nominal reflecting surface 1 1 2 0, reflection 1 1〇3, reflected beam 1 104, detection plane 1 105, nominal detection point 1 106, curved plane 1 1 07, displacement reflected light 1 1 2 2 and displacement detection point 1 1 2 3 When the surface 1 1 20 generates an oblique reflected beam 1 1 22, its position 1 1 2 3 on the detector plane is caused by the displacement f 2 from the nominal detection point 1 1 0 6 due to the inclination. In the embodiment, the distance from the hole to the sample is substantially corrected, however, the autofocus can bring the hole to a different height to compensate for the displacement amount f 2. The new height may have errors due to tilt in the optical system. FIG. 12 shows an embodiment of the auto-focusing system of the present invention, and FIG. 12 includes a combination 1 2 9 3, a lamp 1 2 9 1, a spherical mirror 1 2 50, a detector plane 1 2 05, and a lens 1 29 5 , Beam splitter 1 294, reflected beam 1 204, beam 1 200, measuring surface 1 20 2 and detector 1 2 9 2. The embodiment shown in Fig. 12 is sensitive to surface displacement, but not sensitive to surface tilt. In the embodiment, the detector 1 2 9 2 and the light bulb 1 2 9 1 are located on the same side of the combination 1 2 9 3. The spherical mirror 1 250 is in the conventional position on the detector plane in the conventional device, and the lens 12'9 5 selectively focuses the light beam within a point of a wafer or an imaging diode. The beam splitter 1 2 9 4 allows the detector to be positioned at the same optical position as the bulb. The mirror 1 2 5 0 series is selected so that its focal length is half of the distance of the nominal reflected beam 1 2 0 4 'because' the imaging surface is located on this paper. The Chinese National Standard (CNS) A4 specification (210 X 297 mm) is applicable. -28--^ --------- install ----- ^ ---- order --------- (Please read the precautions on the back before filling this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description (26) on the mirror. The system in Figure i 1 is not bilaterally symmetric, and the light only passes from left to right. The system shown in Figure 12 is left-right symmetrical, and the light can pass from right to left or from left to right. Figure 13 illustrates the increased sensitivity to the amount of surface displacement obtained using symmetrical space autofocus. In FIG. 13, the oblique beam 1 300, the entrance hole 1301, the illumination source 1391, the detector 1392, the focusing plane 1 3 14, the nominal reflection point 1 303, the nominal reflected beam 1 304, the mirror 1320, and the nominal detection point 1305, displacement surface 1307, displacement reflection point 1 3 1 0, target point 1 3 1 5, return reflected beam 1 3 1 3, mirror reflection point 1 3 1 2, imaging point 1 3 1 6, displacement second reflection point 1 3 1 7. Re-reflected beam 1 3 1 8 and detection point 13 19 ° In Figure 1 3, the illumination light source 1 3 9 1 and the detector 1 3 9 2 can allow light to pass through. The oblique light beam 1 3 0 0 passes this detector, but it is practically impossible. However, as a skilled person, this geometrical effect can be achieved with the optical splitter shown in Fig. 13 or by moving the access hole 1 301 and the detector in opposite directions. The mirror has a focal plane 1 3 1 4 and is imaged on itself. The center of the focal plane is located at the nominal reflection point 1 3 0 3 and is orthogonal to the nominal reflected beam 1 3 0 4. For this nominal surface, the mirror 1320 reflects the reflected light 'beam 1 3 0 4 along its path. This back-reflected beam is reflected again from the nominal reflection point 1 3 0 3 of the wafer, and returns to the detector along the same path as the oblique beam 1 3 0 0. The nominal inflection point 1 3 0 5 is located along the path of the oblique beam 1 300. For the displacement surface 1 307, the paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -29- i > --------- installation ----- ^- --Order ----- (Please read the note on the back? Matters before filling out this page) Boxing 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention (27) Oblique beam anti_self displacement Reflective point 1 3 1 0. The reflected beam passes through the target point on the focusing plane 1 3 1 4] _ 3 1 5. The returned reflected light beam 1 3 1 3 passes from the mirror reflection point 1 3 1 2 through the imaging point 1 3 1 6 to a displacement of the second reflection point 1 3 1 7. The re-reflected light beam 1 3 1 8 hits the detector at the detection point 1 3 1 9, which is at a distance from the nominal detection point f 3. The detection distance f 3 is greater than four times the detection distance f 1 of a similar autofocus system. When (increased, the angle between light rays such as 1 3 1 3 and 1 3 0 4 will increase and the sensitivity will increase. Because the sensitivity of the symmetrical system in Figure 13 is greater than or equal to four times the equivalent asymmetric system. Figure 14 indicates the insensitivity of the symmetrical spatial autofocus system. Figure 14 includes: surface 1 4 0 2, inclined surface 1 4 2 0, oblique light 1 4 0 0, reflected light 1 4 0 4, reflected light 1 4 2 1. Mirror 142 2 and detector plane 1 426. The surface 1 4 2 0 in Figure 14 is located at the correct distance from the sensor combination, but is inclined at an angle 4. The reflected light reflected from the mirror 1 4 2 2 1 4 2 1 is connected to I. It is inclined at the nominal reflected light, but is imaged on the mirror itself, because the reflected light hits the detector at the nominal point 1 4 0 3 of the detection plane. Yes, with this symmetrical system, there will be no error shift due to tilt. The advantage of the SSA method is that it can be structured in a direct way to generate a 'linear error signal, and the autofocus position is zero traverse. Differential signal from any line imaging detector (CCD, PSD or bi-cell) can be used to provide The error signal is returned to the correct focal length. The operating range of the SSA is determined by the f / # of the optical element, the size of the imaging detector, and the paper size applicable to China National Standard (CNS) A4 (210 X 297 mm) -30 -| > — " · ------ · 装 —l · —Order --------- (Please read the notes on the back before filling this page)

493205 五、發明說明(28 ) 表面傾斜角所決定。 圖1 5係表示該自動聚焦系統之另一實施例。圖丄5 中包括:透鏡1500以及平面鏡1501。圖15之實 施例包括透鏡1 5 0 0以及平面鏡1 5 0 1,其係將其他 貝施例中的球面鏡(如圖1 4 )加以取代。該鏡之焦距係 等於透鏡中心至名義反射點之距離。透鏡至鏡之間係同爲 透鏡之焦距。如是減少靈敏度,與球面鏡系統相較係數大 致爲2。圖1 6說明圖1 5實施例對表面傾斜之不靈敏性 。圖16中顯示透鏡1600以及平面鏡1601。 上述說明及圖式之中,光束係以線加以表示,在部份 實施例中,光線可以被聚焦,如以透鏡聚焦於水面。當光 束聚焦於反射時,對稱系統大致再聚焦光束於表面上的再 反射點。 本發明另係關於晶圓固持,在許多的實施中,晶圓固 持器自機械手臂中接收晶圓,以裝置使晶圓側面向下。本 發明之較佳實施例中,晶圓固持器收納晶圓於一以晶圓支 撐器支撐之晶圓環,如圖1 7所示。 實施例中之晶圓環具有至少三位置係相對於該夾頭。 如圖1 8所示之第一位置,晶圓環係距離該夾頭尙遠’而 機械手臂可以移動晶圓至該晶圓環。如圖1 9所示之第二 位置’,該晶圓環係將晶圓向上拉離該夾頭’藉此造成真空 以使夾頭固持該晶圓。如圖2 0所示之第三位置’晶圓環 係略爲脫離該夾頭,藉此: 1 )其無法接觸晶圓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) _ 31 - (請先閱讀背面之注意事項再填寫本頁 ---— l· — — — 訂· II------, 經濟部智慧財產局員工消費合作社印製 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(29 ) 2 )其係接近該夾頭,藉此可在真空固持狀態破壞時 接住該晶圓。 在此一位置可使晶圓在夾頭上轉動,同時保持安全。 圖1 7 - 2 0所示之晶圓固持器與習知者相較具有三 種不同的優點。習知技術中,一棒上之吸杯係接取晶圓, 並將其降至量測位置,其係停靠於沿其邊緣之各點,或爲 棒向下的力略爲頂彎。相較於本發明,習知者無法達成下 列者_· 1 )使晶圓定向 2 )當真空消除後可使晶圓落下一明顯的距離 3)無法在夾頭內平頭固持該夾頭 固持晶圓有數種方式,晶圓係儀器中係以裝置側向向 下。僅必要在晶圓週邊獨有區域加以接觸,如晶圓外圍3 m m之環形圓週部份。對於機械手臂會設定晶圓之離手位 置仍有明顯的不確定性。同時爲了不使自晶圓下方的視線 模糊,儀器均盡可能看見該晶圓之表面。 本發明之實施例,圖2 1及圖2 2係說明符合上述限 制之二種方法。圖2 1中,晶圓支撐器係貼靠該晶圓環以 固持該晶圓,該晶圓支撐器之頂表面係爲傾斜,藉以不管 晶圓的位置爲何,晶圓支撐器僅接觸該晶圓之邊緣。實施 例所'示,至少三處環繞在晶圓週邊位置係用以支撐。各晶 圓支撐器係明顯較窄(垂直於圖式之方向),以盡可能減 少模糊。圖2 1中,晶圓之中心係同軸於該晶圓環者,因 爲該離手位置的不確定性,該晶圓可以在左或在右。該晶 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -32 - ----1_--I-------l· I I I ^ ---I----I (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(30 ) 撐器廢需之長度係取決於該離手位置的不確定性。圖 2 2所示之另一實施例中,晶圓支撐器具有二斜部,外斜 部使晶圓靠向中心,而可無需考量晶圓的離手位置。 另一實施例中,該晶圓之邊緣係爲抓住的,而非爲支 撐器或真空夾頭所固持。該抓子可選擇性地轉動整個晶圓 ,以對正一或多個軸。 在較佳實施例中,爲夾頭所固持之晶圓係降至水浴中 以供光學量測。若該晶圓件直接降至水中,則所產生之氣 泡會附在表面,則對圖像的辨認及厚度的量測均會有不良 之影響。爲減少產生氣泡,操作時晶圓以不平行水面的方 式下降至水中,在習知技術中,則係以棒及一凸輸來避免 之。 本發明在圖2 3至2 5中係加入一連桿,其係具有二 支撐樞軸,係各自位於該夾頭組合兩側,係用以支撐該夾 頭組合之重量,並驅使其上下移動。該夾頭係位於該夾頭 組合之底部,該夾頭殻體之移動係進一步爲靜止之傾斜錨 、連桿與傾斜臂之組合所限制。此三種元件係大致共平面 垂直並將靠該二支撐樞軸之連線平分爲二。該連桿係以樞 軸連接於該傾斜錨與該傾斜臂間。 如圖2 3所示之實施例,該支撐樞軸係位於最高的位 置,’當支撐樞軸降至如圖2 4所示位置,該夾頭殼體係下 拉至該連桿之左端,該連桿係轉至更進一步之水平位置, 當其如此時,其係將該傾斜臂推至右側,輪流上述步驟將 該夾頭殼體以順時間方向轉動之。藉此方式,該晶圓(圖 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -33 - —u--Λ------裝-----^----訂---------^9. (請先閱讀背面之注意事項再填寫本頁> 493205 Α7 五、發明說明(31 ) * # ’係於該夾頭組合之底部)係爲固持於一非水平角 (請先閱讀背面之注意事項再填寫本頁> 度’當其係浸入水面時。當夾頭組合更爲下降時,將使水 位上升。 在此一實施例中,當支撐樞軸更爲下降時,該連桿轉 重力S水平面之上,並將該傾斜臂拉至左側,而使夾頭殻體 以逆時針方向轉動。最後,支撐轉軸轉至底部,該夾頭殼 體係靠該支撐樞軸與連桿作用而大致位於水平。夾頭殼體 轉動及其精確位置取決於當支撐樞軸行至底部時運動停止 之困難度。其係可調整以使該晶圓係平行於在該支撐平面 下之光學系統的X、y運動。該支撐平面設有一主窗,可 使光學系統ό看見ϋ該晶圓。 在實施例中,以感應器係用以控制該水面高度。該低 高度感應器係用以確認操作時系統內有足夠的水,而該高 高度感應器係用以防止水溢流。 經濟部智慧財產局員工消費合作社印製 在其他的實施例中,晶圓可以平置於水中,此種情形 會使氣泡極易受困。有許多的裝置可用以移除這些的氣泡 ,包括噴射水流於該晶圓(以及窗)之週緣、壓縮水刀( 如雨刷,高速噴出之水流)以及超音波。其係可能在成像 或光譜量測分析中辨認氣泡之圖像,並控制將氣泡移除於 該基質。 晶圓在化學機械拋光後並非已淸潔過的,其上仍有拋 光漿。超過時間,拋光漿會使窗與晶圓間之光學元件變髒 。空中散播顆粒會同樣造成窗變髒。若干技術單獨使用或 一同使用,可用以淸潔該主窗。較佳之方法包括: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -34 - 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(32 ) 1 )導流水 2 )將窗以淸潔劑完全弄溼(如單元酒精) 3)以吸水布料將窗完全蓋上 4 )將布料自晶圓中央拉起使布料週緣擦過該晶圓 使主窗與該夾頭在最高位置時,其兩者間造成一較小 之間隙’此一操作可能會難以達成。一種臂部係設計用以 置放該布料並將之自中心抽走,而另外以超音波淸潔器的 方可以協助移除主窗表面上的顆粒。一且顆粒移除之後, 連續排放的水流足以使該窗保持乾淨。擦洗對將顆粒的移 除亦爲必要的。相較於前述以布料淸除顆粒的方法,一種 橡膠淸潔器(諸如汽車雨刷)則可以更爲有效的將顆粒加 以移除。 對C Μ P (或其他)工具中之儀器以及光學系統移動 件給予在尺寸上一緊密的限制,將使掃描整個晶圓產生困 難。甚者,會形成水與光學系統間之阻斷,如該晶圓支撐 器貼靠於該晶圓環。此等情形下,本發明之又一優點係在 於可使該光學元件可以絕對淸楚地 ''看見"晶圓上任何的 一點,如圖2 6所示之實施例。 在圖2 6所示實施例中,係看自晶圓上方。該晶圓係 在該支撐器之上,其係爲晶圓環所固持。如上所述,該真 空夾'頭支撐著該晶圓,且該支撐器在此時則並未接觸該晶 圓。光學元件的掃描區域則並未涵蓋晶圓全部的區域。而 目標在其開始位置係因爲其係落於掃描區域之外以及在該 晶圓支撐器之上而被模糊。轉動該晶圓藉以使該目標至該 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -35 - --1---------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(33 ) 轉動目標位置,以使光學元件可以ό看見ό該目標。此係相 當重要,特別係沿晶圓直徑掃描厚度而欲量測在C Μ Ρ製 程中徑向厚度之均一性。 本發明同時亦利用一真空夾頭以平整該晶圓。習知者 係以晶圓環固持於晶圓之圓周,以重力或棒略爲下壓該晶 圓之中央。在前述之例中,晶圓的曲度係一不同的重複性 高的不平整度所造成。本發明利用真空夾頭以平整該晶圓 係易於聚焦,並可減少因不同光學路徑長度(含通過晶圓 )以及傾斜所造成的影響。 晶圓平坦度之要求係因二原因,爲避免晶圓表面相對 於該光學光束之傾斜,如厚度量測,晶圓表面反射係數係 爲角度的函數,因是,傾斜將造成因反射所產生之量測誤 差。第二原因係與焦點有關,當該光學路徑通過水時因晶 圓之不平整而改變,其將因收差而降低光學系統的聚焦能 力。第三原因係與自動聚焦有關。任何的自動聚焦系統不 會具有相同的有效入射角的量測光束,系統間存有聚焦以 及量測光束焦點位置的誤差。此係因爲藉由空氣中光學距 離的改變補償水中光學距離的改變以及光束的折射使接物 鏡聚焦。 一透鏡自動聚焦系統係上述自動聚焦系統之另一種例 子。’該裝置可以傳送並接受通過光分離器之自動聚焦光, 該光分離器係取系統中之轉鏡。光束可以沿該準直之量測 或監控光束發射,並最後到達晶圓反射,並沿一準直之光 束(原光束或另一光束)返回。而在CD中所使用之方式 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -36- --r---------裝-----^----訂--------- φ (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(34 ) 亦可在此使用。一聚焦之散光係照射於一四分格中,甚四 格係設定爲N,S,E,W做爲方向點。若光束係在一方 向上散去,其散射於該N、S方向,聚光於方向E、W方 向。若光束在另一方向上散去,則散射於E、W方向,而 聚光於方向N、S。該等於四分格中之散射與聚光可以電 子檢知,並用以控制該量測系統之焦點。 甚者,晶圓對正器則具有三項不同之優點: 1 )訓練操作員的過程中,永遠可以看見晶圓一側立 起,如具有凹痕的方向朝向所視幕之底部,如是使系統訓 練更爲簡易。 2 )晶圓隨意定位使圖像識別更加困難,最初之對正 越好,則使圖像識別更爲簡易。 3 )以一對正之晶圓而言,孔可具一方形截面(垂直 於量測或監控光束),當量測用之盒子亦同爲方形,可使 更多光在無需增加最小盒子的尺寸下傳輸並可用於量測。 本發明係另關於一種軟體搖桿,解決的問題係在於如 何使系統軟體之操作者以馬達控制照像機移動至特徵定點 。本發明之較佳實施例中,使用者將無需直接與馬達接觸 以移動該照像機。該照像機顯示於軟體中之視區係少於 0·01%涵蓋於該照像機全運動區域。使用者需要移動 該照'像機以看見其他部份的可見視區。 此一問題可以經由使用實際具有控制搖桿並與一或多 個位之電轉換器連接之裝置,使用者可移動該搖桿位置, 而位移係轉換爲馬達移動照像機之相對速度。而照像機之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 37 --k---二------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 493205 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(35 ) 視區則不斷地更新,以使使用者可以看到照像機相對所在 位置之區域。此種裝置稱之爲搖桿,係爲手動裝置可以輕 易使一使用者配合以搖桿裝置控制照像機之位置,更特別 係對於多維運動搖桿。 下段文字係說明一種稱爲滑桿的標準控制軟體,該軟 體控制於一圖形使用者介面,該介面係顯示相似於一滑動 電位計。使用者可以由滑鼠控制軟體中相關數値,以移動 螢幕上滑桿的位置,而此種控制通常係具有一對箭頭,當 使用者選擇一箭頭,滑桿數値即朝向箭頭所指方向改變一 定之量。 如本發明之實施例,其係可能未具該等搖桿硬體。在 其他的軟體系統之中,該搖桿分離之硬體轉換器係通常爲 控制軟體所取代。例如,二維搖桿可以二滑桿加以替代, 其亦可能爲一具有不同9 0度指向之四方向箭頭之二維搖 桿所取代。在該等系統中,使用者每次選擇四箭頭中之一 者,照像機則朝向所指方向移動一定之位移量,此種系統 中,使用者可以控制該平台移動滑桿所選擇之移動量,或 文字輸入型式之移動量。該四箭頭按鈕可以被取代爲或增 加鍵盤之四箭頭按鍵。此種軟體解決方案使使用者難以沿 該馬達之軸線移動,其亦難以配合運動的速動而有所改變 〇 據本發明,軟係作爲維持非軸線運動,以及易於控制 搖桿硬體之速度。本發明之軟體控制裝置在後文中可稱之 爲軟體搖桿。該軟體搖桿係設計以座標形式控制運動的二 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -38 - ------ „------裝-----^----訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 493205 A7 ____B7__ 五、發明說明(36 ) 維方向。在螢幕上可以一圓圈表示之,選擇並固定該滑鼠 按鈕於軟體搖桿之可控制區域,相似於握住硬體搖桿之把 手。游標位置係在該控制區域內,可用以決定如何控制該 照像機之移動。在軟體搖桿的可控制區域內放開滑鼠按鈕 ,即如同放開硬體搖桿的把手。 在實施例中,該軟體搖桿之控制區域係爲包圍圓圈之 方形。當滑鼠按鈕放開而游標在圈內時,該軟體搖桿之控 制値係回復至中央位置之數値。當滑鼠按鈕放開在控制區 域而在圈外時,該軟體搖桿之控制値會保持爲最後所選之 數値。 爲了解其操作,可想像通過圓圈中心有一條水平線及 一條垂直線,該二條線係形成Cartesian座標系,並分割爲 四個象限。該軟體搖桿具有二控制値,一爲水平控制(X 軸),一爲垂直控制(Y軸)。各數値均爲離開圓圏中心 距離之單一函數。 此一圖面使用者介面管制軟體可使使用者控制照像機 在多軸座標系統運動中之監控位置,其同時可以輕易修改 二軸或單一軸向上的速度。 本發明之實施例中包括決定薄膜厚度與外廓的系統, 並係用以決定凹陷、拋物面或其他晶圓表面之非平面特徵 。實'施例之詳細內容如附件A。 較佳實施例中,該外廓計可以連接於如圖1所反射計 量器系統1 0 0中的光路板上。較佳實施例同時需要利用 彎曲之軸承以應用於和緩反覆的測微計運動或次微計量_ (請先閱讀背面之注意事項再填寫本頁)493205 V. Description of the invention (28) Determined by the inclination of the surface. FIG. 15 shows another embodiment of the autofocus system. FIG. 5 includes a lens 1500 and a plane mirror 1501. The embodiment of FIG. 15 includes a lens 15 0 0 and a plane mirror 15 0 1, which are replaced by the spherical mirror (see FIG. 14) in other embodiments. The focal length of the mirror is equal to the distance from the lens center to the nominal reflection point. The lens-to-mirror lens is the focal length of the lens. If the sensitivity is reduced, the coefficient is approximately 2 compared to the spherical mirror system. Figure 16 illustrates the insensitivity of the embodiment of Figure 15 to surface tilt. A lens 1600 and a plane mirror 1601 are shown in FIG. 16. In the above description and drawings, the light beam is represented by a line. In some embodiments, the light can be focused, such as a lens focused on the water surface. When the light beam is focused on reflection, the symmetrical system roughly refocuses the light beam on the re-reflection point on the surface. The present invention is also related to wafer holding. In many implementations, the wafer holder receives a wafer from a robotic arm, and the device is configured to make the side of the wafer downward. In a preferred embodiment of the present invention, the wafer holder holds the wafer in a wafer ring supported by a wafer supporter, as shown in FIG. 17. The wafer ring in the embodiment has at least three positions relative to the chuck. In the first position shown in FIG. 18, the wafer ring is far away from the chuck 'and the robot arm can move the wafer to the wafer ring. As shown in the second position 'shown in FIG. 19, the wafer ring pulls the wafer up from the chuck', thereby creating a vacuum to hold the chuck on the wafer. In the third position shown in Figure 20, the wafer ring system is slightly separated from the chuck, thereby: 1) it cannot contact the wafer. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm). ) _ 31-(Please read the precautions on the back before filling out this page ----- l · — — — Order II ------, Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 493205 Intellectual Property of the Ministry of Economic Affairs A7 B7 printed by the Bureau ’s Consumer Cooperative. V. Invention Description (29) 2) It is close to the chuck, which can catch the wafer when the vacuum holding state is broken. In this position, the wafer can be rotated on the chuck while maintaining safety. The wafer holder shown in Figs. 17-20 has three different advantages compared to the conventional one. In the conventional technique, a suction cup on a rod is used to pick up a wafer and lower it to a measurement position, which is docked at various points along its edge, or slightly bent by the downward force of the rod. Compared with the present invention, a person skilled in the art cannot achieve the following _ 1) Orienting the wafer 2) When the vacuum is removed, the wafer can be dropped to a significant distance 3) Cannot hold the chuck to hold the crystal in the chuck There are several ways to circle. The wafer-based instruments are oriented with the device side down. It is only necessary to make contact in a unique area around the wafer, such as a 3 mm ring-shaped peripheral portion of the wafer periphery. There is still significant uncertainty about where the robotic arm will set the wafer's off-hand position. At the same time, in order not to obscure the line of sight from below the wafer, the instrument can see the surface of the wafer as much as possible. In the embodiment of the present invention, FIGS. 21 and 22 illustrate two methods that meet the above-mentioned restrictions. In FIG. 21, the wafer supporter is abutted against the wafer ring to hold the wafer, and the top surface of the wafer supporter is inclined, so that the wafer supporter only contacts the crystal regardless of the position of the wafer. Rounded edges. As shown in the embodiment, at least three locations surrounding the wafer are used for support. Each crystal circle support is significantly narrower (vertical to the direction of the drawing) to minimize blurring. In Figure 21, the center of the wafer is coaxial with the wafer ring. Because of the uncertainty of the off-hand position, the wafer can be left or right. The crystal paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) -32----- 1 _-- I ------- l · III ^ --- I --- -I (Please read the precautions on the back before filling this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention (30) The length of the brace waste is determined by the position of the hand Certainty. In another embodiment shown in FIG. 22, the wafer support has two inclined portions, and the outer inclined portions bring the wafer closer to the center without having to consider the off-hand position of the wafer. In another embodiment, the edges of the wafer are grasped rather than held by a holder or a vacuum chuck. The gripper can selectively rotate the entire wafer to align one or more axes. In a preferred embodiment, the wafer held by the chuck is lowered into a water bath for optical measurement. If the wafer is dropped directly into the water, the generated air bubbles will be attached to the surface, which will adversely affect the image recognition and thickness measurement. In order to reduce the generation of air bubbles, the wafer is lowered into the water in a way that is not parallel to the water surface during operation. In the conventional technology, it is avoided by using a rod and a convex transport. In the present invention, a connecting rod is added in FIGS. 2 to 25, which has two supporting pivots, which are respectively located on both sides of the chuck assembly, and are used to support the weight of the chuck assembly and drive it to move up and down. . The chuck is located at the bottom of the chuck assembly, and the movement of the chuck housing is further restricted by the combination of a stationary tilting anchor, a connecting rod and a tilting arm. These three elements are approximately coplanar and perpendicular, and bisect the connection by the two support pivots. The link is pivotally connected between the tilt anchor and the tilt arm. In the embodiment shown in FIG. 23, the support pivot is located at the highest position. 'When the support pivot is lowered to the position shown in FIG. 24, the chuck housing is pulled down to the left end of the link, and the connection The lever is turned to a further horizontal position. When this is the case, it pushes the tilt arm to the right, and turns the chuck housing in a clockwise direction in turn in the above steps. In this way, the wafer (the size of the paper is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -33-—u--Λ ------ packed ----- ^- --- Order --------- ^ 9. (Please read the notes on the back before filling out this page> 493205 Α7 V. Description of the invention (31) * # 'It is at the bottom of the chuck combination ) Is fixed at a non-horizontal angle (please read the precautions on the back before filling in this page > degree when it is immersed in the water surface. When the chuck combination is more lowered, the water level will rise. In this implementation In the example, when the supporting pivot is further lowered, the link rotates above the horizontal plane of gravity S, and the inclined arm is pulled to the left, so that the chuck housing rotates counterclockwise. Finally, the supporting pivot is turned to the bottom The chuck housing is approximately horizontal by the action of the support pivot and connecting rod. The rotation of the chuck housing and its precise position depend on the difficulty of stopping the movement when the support pivot travels to the bottom. It can be adjusted to The wafer is moved parallel to the X and Y of the optical system under the support plane. The support plane is provided with a main window, which enables the optical system See the wafer. In the embodiment, a sensor is used to control the height of the water surface. The low-height sensor is used to confirm that there is sufficient water in the system during operation, and the high-height sensor is used to prevent Water Overflow. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. In other embodiments, wafers can be placed flat in water, which can make bubbles extremely trapped. There are many devices available to remove these Bubbles, including jets of water flowing around the wafer (and the window), compressed waterjets (such as wipers, high-speed jets of water), and ultrasound. It is possible to identify the image of the bubble in imaging or spectral measurement analysis, and Controls the removal of air bubbles from the substrate. The wafer is not cleaned after chemical mechanical polishing, and there is still polishing slurry on it. Over time, the polishing slurry will make the optical components between the window and the wafer dirty. Airborne Particles will also cause the window to become dirty. Several technologies, used alone or together, can be used to clean the main window. The preferred methods include: This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -34-493205 A7 B7 printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (32) 1) Diversion water 2) Wet the window completely with detergent (such as unit alcohol) 3) Cover the window completely with water-absorbent cloth. 4) Pull the cloth from the center of the wafer and wipe the cloth perimeter across the wafer. When the main window and the chuck are at the highest position, a small gap is created between them. Operations can be difficult to achieve. An arm system is designed to place the fabric and draw it away from the center, and an ultrasonic cleaner can help remove particles from the surface of the main window. Once the particles are removed, a continuous stream of water is sufficient to keep the window clean. Scrubbing is also necessary to remove particles. A rubber cleaner (such as a car wiper) can remove particles more effectively than the previous method of removing particles with cloth. Placing a tight restriction on the size of the instruments in the CMP (or other) tool and the moving parts of the optical system will make it difficult to scan the entire wafer. In addition, a barrier between water and the optical system may be formed, such as the wafer holder abutting against the wafer ring. In these cases, another advantage of the present invention resides in the fact that the optical element can absolutely "see" any point on the wafer, as shown in the embodiment shown in FIG. In the embodiment shown in FIG. 26, it is viewed from above the wafer. The wafer is on the support, which is held by the wafer ring. As described above, the vacuum clip 'head supports the wafer, and the holder does not touch the wafer at this time. The scanning area of the optical element does not cover the entire area of the wafer. The target is blurred at its starting position because it falls outside the scanning area and above the wafer support. Rotate the wafer to make the target to the paper size. Applicable to China National Standard (CNS) A4 specification (210 X 297 mm) -35---1 --------- pack ----- ^ ---- Order --------- (Please read the notes on the back before filling out this page) 493205 Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of invention (33) Turn target Position so that the optics can see the target. This is very important, especially for scanning thickness along the wafer diameter in order to measure the uniformity of radial thickness in the CMP process. The present invention also utilizes a vacuum chuck to level the wafer. It is known that the wafer ring is held on the circumference of the wafer, and the center of the wafer is slightly depressed by gravity or a rod. In the foregoing example, the curvature of the wafer was caused by a different, highly repeatable unevenness. The present invention uses a vacuum chuck to flatten the wafer system for easy focusing, and can reduce the effects caused by different optical path lengths (including through the wafer) and tilt. The requirement of wafer flatness is due to two reasons. In order to avoid the tilt of the wafer surface with respect to the optical beam, such as thickness measurement, the reflection coefficient of the wafer surface is a function of angle. Measurement error. The second reason is related to the focal point. When the optical path passes through water, it is changed due to the unevenness of the crystal circle, which will reduce the focusing ability of the optical system due to the difference. The third reason is related to autofocus. No auto-focusing system will measure the beam with the same effective incident angle, and there will be errors in the focus and the focus position of the measuring beam between the systems. This is because the change in the optical distance in the air compensates for the change in the optical distance in the water and the refraction of the light beam to focus the objective lens. A lens autofocus system is another example of the autofocus system described above. ’This device can transmit and receive the auto-focused light passing through a light splitter, which is a rotating mirror in the system. The beam can be emitted along this collimated measurement or monitoring beam, and finally reaches the wafer for reflection, and returns along a collimated beam (original beam or another beam). And the method used in the CD This paper size applies to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -36- --r --------- install ----- ^- --- Order --------- φ (Please read the notes on the back before filling out this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of Invention (34) This use. A focused astigmatism is irradiated in one quarter of a grid, and even four grids are set to N, S, E, and W as direction points. If the light beam is scattered in one direction, it will be scattered in the N and S directions and concentrated in the E and W directions. If the light beam diverges in the other direction, it will be scattered in the E and W directions and concentrated in the N and S directions. The scattering and condensing in the quadrant can be detected electronically and used to control the focus of the measurement system. In addition, the wafer alignment device has three different advantages: 1) During the training of the operator, one side of the wafer can always be seen standing up. If the direction with dents is toward the bottom of the screen, System training is easier. 2) The random positioning of the wafer makes image recognition more difficult. The better the initial alignment, the easier the image recognition. 3) For a pair of positive wafers, the hole can have a square cross-section (perpendicular to the measurement or monitoring beam), and the box used for measurement is also square, which can make more light without increasing the size of the smallest box. And can be used for measurement. The present invention relates to another kind of software joystick, and the problem to be solved is how to make the operator of the system software use a motor to control the camera to move to a characteristic fixed point. In a preferred embodiment of the present invention, the user will not need to contact the motor directly to move the camera. The camera's viewing area displayed in the software is less than 0.01% covered in the camera's full motion area. The user needs to move the camera to see the other viewing areas. This problem can be solved by using a device which actually has a joystick and is connected to one or more electric converters. The user can move the joystick position, and the displacement is converted into the relative speed of the motor to move the camera. And the paper size of the camera is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 37 --k --- two ------ install ----- ^ ---- order --------- (Please read the notes on the back before filling this page) 493205 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description (35) The view area is constantly updated to Allows users to see the area where the camera is located relatively. This type of device is called a joystick. It is a manual device that can easily enable a user to control the position of the camera with the joystick device. More specifically, it is a multi-dimensional joystick. The text below illustrates a standard control software called a slider. The software is controlled by a graphical user interface that is similar to a sliding potentiometer. The user can control the relevant data in the software by the mouse to move the position of the slider on the screen. This control usually has a pair of arrows. When the user selects an arrow, the number of the slider is in the direction of the arrow. Change a certain amount. As in the embodiment of the present invention, it may not have such rocker hardware. Among other software systems, the joystick separate hardware converter is usually replaced by control software. For example, the two-dimensional joystick may be replaced by two sliders, and it may also be replaced by a two-dimensional joystick with four-directional arrows pointing at different 90 degrees. In these systems, each time the user selects one of the four arrows, the camera moves a certain amount of displacement in the direction indicated. In this system, the user can control the movement selected by the platform's moving slider. The amount, or the amount of movement of the text input type. The four-arrow button can be replaced with or added to the four-arrow key on the keyboard. This software solution makes it difficult for the user to move along the axis of the motor, and it is difficult to change it in accordance with the speed of the movement. According to the present invention, the soft system is used to maintain non-axis movement and to easily control the speed of the rocker hardware. . The software control device of the present invention may be referred to as a software joystick hereinafter. The software joystick is designed to control the movement of two paper sizes in the form of coordinates. It is applicable to China National Standard (CNS) A4 (210 X 297 mm) -38------- ---- ^ ---- Order --------- (Please read the notes on the back before filling out this page) Printed by the Employees' Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 493205 A7 ____B7__ V. Description of the Invention 36) Dimensional direction. It can be indicated by a circle on the screen. Selecting and fixing the mouse button in the control area of the software joystick is similar to holding the handle of a hardware joystick. The cursor position is in the control area. It can be used to decide how to control the movement of the camera. Release the mouse button in the controllable area of the software joystick, which is like releasing the handle of a hardware joystick. In an embodiment, the control area of the software joystick It is a square that surrounds the circle. When the mouse button is released and the cursor is in the circle, the control of the software joystick is restored to the center position. When the mouse button is released in the control area and outside the circle , The control of the software joystick will remain the last selected number. To understand its operation It is conceivable that there is a horizontal line and a vertical line through the center of the circle. The two lines form a Cartesian coordinate system and are divided into four quadrants. The software joystick has two controls 一, one for horizontal control (X axis), one It is vertical control (Y-axis). Each number is a single function of the distance from the center of the circle. This graphical user interface control software allows the user to control the monitoring position of the camera in the movement of the multi-axis coordinate system. At the same time, it can easily modify the speed in two axes or a single axis. Embodiments of the present invention include a system for determining film thickness and profile, and are used to determine non-planar features of depressions, paraboloids, or other wafer surfaces. The details of the embodiment are shown in Appendix A. In the preferred embodiment, the profiler can be connected to the optical circuit board in the reflection meter system 100 shown in Fig. 1. The preferred embodiment also requires the use of a curved bearing to Applies to slow and repeated micrometer movements or sub-micrometers _ (Please read the precautions on the back before filling this page)

_ · n 1_| I ϋ ϋ ϋ 一-°JI ϋ ϋ n ϋ n i I_ · N 1_ | I ϋ ϋ ϋ--° JI ϋ ϋ n ϋ n i I

493205 A7 B7 五、發明說明(37 ) 運動。該等實施例係可大量應用於晶圓的介電質或金屬結 構之中。 另一不同實施例中,該外廓量計可以爲音測式或光學 式’光學式之外廓量計之實施例可用於上述之自動聚焦系 統中,以決定晶圓表面的外廓。而自動聚焦系統即固有對 於晶圓表面之輪廓靈敏度,因爲反射自晶圓表面的光線聚 焦會因不同之晶圓表面特徵之不平坦度而產生不同點。 本發明之另一實施例包括晶圓表面高對比成像之裝置 ’特定之實施例係利用差分干涉對比(D I C )技術。極 化技術可以實施以推論關於以習知技術量測晶圓表面之量 化資訊。在特定之實施例中,一整合之干涉計以及影像光 譜儀可同時用以決定晶圓表面的輪廓及材質內容。其他之 實施例可包含一橢圓計。較佳實施例包括運動控制系統、 圖像識別系統以及決定量測資料意義數量之軟體。 如上所述,本發明之光學系統同時可用以推論晶圓表 面之侵蝕狀況。其同樣適合用於晶圓接近金屬與介電質結 構之間。當出現物或其他物質必須列入資料分析時,本發 明之不同實施例可利用觀察校量或理論模式或其組合分析 之。 本發明可注意如下所述者,可以使量測在晶圓表面以 不同'的速率進行。對資料收集、處理以及自量測區域移動 至另一量測區域之時間度量,係可以調整並取決所需之資 料。 本發明另一'特徵係在於精確光學系統定位於晶圓表面 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-40 - (請先閱讀背面之注意事項再填寫本頁) -----^----訂------ %, 經濟部智慧財產局員工消費合作社印製 493205 Α7 Β7 五、發明說明(38 ) (請先閱讀背面之注意事項再填寫本頁) 之圖像上^光路板(如圖1 )係以直流馬達或導引螺柱進 行定位。實施例中,馬達之元件係直接裝置於一導引螺柱 (參照附件A )。據本發明,係省略連接元件,以達成一 更精細、強硬且可對正驅動裝置。 本發明實施例係整合至晶圓生產線上,如上述,不同 實施例可以使晶圓在測試下達成不同的位置。特定的實施 例係利用升降器以及進給器元件(如附件A詳述),以將 晶圓置於另一位置,並導入至本發明裝置中。 圖2 7係一較佳實施例整合至晶圓生產線中,爲說明 而非限制本發明起見,圖2 7實施例中的處理站係爲一拋 光器。一拋光機1以及一整合表面測量站,I S M S 1〇係顯示於其中。該拋光機1包括一拋光單元4、承載 區1 8以及傳輸系統2 2。一置於承載器1 8上之晶圓亦 同時顯示之。如圖2 7所示,該量測站係與處理站分開但 相連。 經濟部智慧財產局員工消費合作社印製 晶圓1 6係被承載器1 8通過承載區1 8而帶至並帶 離拋光機。該承載器可以爲卡式或F 0 U Ρ如一般習知技 術所見者。該傳輸系統2 2係一裝置或一組裝置用以傳送 晶圓於該拋光器1。特定之實施例包括機械手臂,如 Equipe W 丁 Μ — 1 0 5。該傳輸系統可移動該晶圓至任 一承'載器18、拋光單元14或該ISMS10。 前述本發明之諸實施例,在此係用以說明並用以限制 本發明。諸多修改或等效之配置均係顯而易見者。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -41 -493205 A7 B7 V. Description of the Invention (37) Movement. These embodiments are widely applicable to the dielectric or metal structures of a wafer. In another different embodiment, the profile meter can be a sound type or an optical type. The embodiment of the optical profile meter can be used in the above-mentioned autofocus system to determine the profile of the wafer surface. The autofocus system is inherently sensitive to the contour of the wafer surface, because the focus of the light reflected from the wafer surface will be different due to the unevenness of the characteristics of the wafer surface. Another embodiment of the present invention includes a device for high-contrast imaging of a wafer surface. A specific embodiment uses a differential interference contrast (D I C) technology. Polarization technology can be implemented to infer quantitative information about wafer surface measurement using conventional techniques. In a specific embodiment, an integrated interferometer and image spectrometer can be used to determine the contour and material content of the wafer surface at the same time. Other embodiments may include an ellipsometer. The preferred embodiment includes a motion control system, an image recognition system, and software that determines the meaningful amount of measurement data. As described above, the optical system of the present invention can also be used to infer the erosion condition of the wafer surface. It is also suitable for use between wafer proximity metal and dielectric structures. When occurrences or other substances must be included in the data analysis, different embodiments of the present invention can be analyzed using observational calibration or theoretical models or a combination thereof. In the present invention, it is noted that the measurement can be performed at different rates on the wafer surface as described below. The time measurement of data collection, processing, and movement from the measurement area to another measurement area can be adjusted and depends on the required data. Another feature of the present invention is that the precise optical system is positioned on the surface of the wafer. The paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) -40-(Please read the precautions on the back before filling this page ) ----- ^ ---- Order ------%, printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 493205 Α7 Β7 V. Invention Description (38) (Please read the notes on the back before filling On this page), the light path board (see Figure 1) is positioned by a DC motor or a guide stud. In the embodiment, the components of the motor are directly mounted on a guide stud (refer to Appendix A). According to the present invention, the connecting element is omitted to achieve a finer, stronger, and alignable driving device. The embodiments of the present invention are integrated into a wafer production line. As described above, different embodiments can make wafers reach different positions under test. A specific embodiment uses a lifter and a feeder element (as detailed in Annex A) to place the wafer at another location and introduce it into the apparatus of the present invention. Fig. 27 is a preferred embodiment integrated into a wafer production line. For the purpose of illustration and not limitation of the present invention, the processing station in the embodiment of Fig. 27 is a polisher. A polishing machine 1 and an integrated surface measurement station are shown in the IS M S 10 series. The polishing machine 1 includes a polishing unit 4, a load-bearing area 18, and a transport system 22. A wafer placed on the carrier 18 is also displayed at the same time. As shown in Figure 27, the measurement station is separate but connected to the processing station. Wafers 16 printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs were taken to and removed from the polishing machine by the carrier 18 through the carrying area 18. The carrier may be a card type or F 0 UP as seen by conventional techniques. The transfer system 22 is a device or a group of devices for transferring wafers to the polisher 1. A specific embodiment includes a robotic arm, such as Equipe W D M — 105. The transfer system can move the wafer to any of the carriers 18, the polishing unit 14, or the ISMS 10. The foregoing embodiments of the present invention are described herein to limit the present invention. Many modifications or equivalent configurations are obvious. This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) -41-

Claims (1)

493205 附件一(A):第89105382號專利申請案中文申請專利範圍修正本 煩請委員明示^^年/^月¾日所提之 參^44*貧4變erif内容著#隹r:^[L-。 經濟部智慧財產局員工消費合作社印製 /&年/^月泊修正/更正厂:‘1《:_民國90年12月呈 六、申請專利範圍 1 . 一種表面量測裝置,包括: (請先閱讀背面之注意事項再填寫本頁) 一量測單元,接收來自一表面之量測區域之資訊;以 及 一第一攝像攝影機,具有包含該量測區域之一第一視 場。 2 .如申請專利範圍第1項所述之表面量測裝置,進 一步包括至少一可控制平移平台係連接於該量測單元,以 改變量測區域在該表面之位置。 3 .如申請專利範圍第2項所述之表面量測裝置,其 中該至少一平移平台係一直接驅動平移平台。 4 .如申請專利範圍第1項所述之表面量測裝置,進 一步包括一可轉動夾頭連接於該表面。 5 .如申·請專利範圍第1項所述之表面量測裝置,其 中該表面與量測單元係具有相對四度自由移動。 6 .如申請專利範圍第1項所述之表面量測裝置,進 一步包括一第二攝像攝影機具有一第二視場。. 7 .如申請專利範圍第6項所述之表面量測裝置,其 中該第二視場係小於該第一視場。 8 .如申請專利範圍第7項所述之表面量測裝置,其 中該第二視場包含該量測區域。 9 .如申請專利範圍第1項所述之表面量測裝置,其 中該量測單元係一薄膜厚度量測單元。 1〇.如申請專利範圍第1項所述之表面量測裝置, 其中該量測單元係一表面輪廓量測單元。 本紙張尺度適用中國國家標準(CNS ) A4規格(210父297公釐1 ~Γ 493205 經濟部智慧財產局員工消費合作社印製 Α8 Β8 C8 D8 六、申請專利範圍 1 1 ·如申請專利範圍第1項所述之表面量測裝置, 其中δ亥重測單兀係一橋圓儀。 1 2 .如申請專利範圍第1項所述之表面量測裝置, 其中該表面係爲液體所浸溼。 1 3 · —種半導體處理裝置,包括: 一晶圓處理站;以及 一量測站,分離但連接於該晶圓處理站,其中該量測 站包括一紫外線光源照射於該表面之量測區域。 1 4 ·如申請專利範圍第1 3項所述之半導體處埋裝 置,其中該量測區域係爲液體所浸溼。 1 5 ·如申請專利範圍第1 3項所述之半導體處理裝 置’其中該量測單元包括光學元件,其包含彎曲反射表面 0 1 6 · —種半導體處理裝置,包括: 一晶圓處理站;以及 一量測站分離但連接於該晶圓處理站,其中該量測站 包括一紫外線光源照射於該表面之量測區域,以及至少一 光譜儀係以光學方式連接於該表面之量測區域。 1 7 ·如申請專利範圍第1 6項所述之半導體處理裝 置’其中該量測區域係爲液體所浸溼。 18· —種半導體處理裝置,包括: 一晶圓處理站;以及 一量測站分離但連接於該晶圓處理站,其中該量測站 包括一晶圓夾頭,用以相對於該量測站轉動該晶圓。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 訂 (請先閣讀背面之注意事項再填寫本頁) 2- 493205 A8 B8 C8 D8 六、申請專利範圍 1 9 .如申請專利範圍第1 8項所述之半導體處理裝 置,其中該量測區域係爲液體所浸溼。 2 0 . —種表面反射儀,包括·_ 一光源 ; 一物端光學儀,可相對於一晶圓表面平移;以及 至少一光檢知器。 2 1 .如申請專利範圍第2 0項所述之表面反射儀, 其中該晶圓表面之量測區域係爲液體所浸溼。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -3- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)493205 Attachment 1 (A): No. 89105382 Patent Application Chinese Amendment to Patent Scope Amendments Members are requested to indicate ^^ year / ^ month ¾ referenced ^ 44 ** 4 变 erifContents # 隹 r: ^ [L -. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs / & Year / ^ Month Pollution Amendment / Correction Factory: '1 《: __ December 1990. 6. Scope of Patent Application 1. A surface measuring device, including: ( (Please read the precautions on the back before filling out this page) A measurement unit that receives information from a measurement area on a surface; and a first camera with a first field of view that includes the measurement area. 2. The surface measurement device as described in item 1 of the scope of patent application, further comprising at least one controllable translation platform connected to the measurement unit to change the position of the measurement area on the surface. 3. The surface measurement device according to item 2 of the scope of the patent application, wherein the at least one translation platform is a direct-drive translation platform. 4. The surface measurement device according to item 1 of the patent application scope, further comprising a rotatable chuck connected to the surface. 5. The surface measuring device according to item 1 of the patent application scope, wherein the surface and the measuring unit have a relative free movement of four degrees. 6. The surface measuring device according to item 1 of the scope of patent application, further comprising a second video camera having a second field of view. 7. The surface measurement device according to item 6 of the scope of patent application, wherein the second field of view is smaller than the first field of view. 8. The surface measuring device according to item 7 of the scope of patent application, wherein the second field of view includes the measuring area. 9. The surface measuring device according to item 1 of the scope of patent application, wherein the measuring unit is a film thickness measuring unit. 10. The surface measurement device according to item 1 of the scope of patent application, wherein the measurement unit is a surface profile measurement unit. This paper size applies to Chinese National Standard (CNS) A4 specifications (210 father 297 mm 1 ~ 493 205 printed by the Intellectual Property Bureau Employee Consumer Cooperatives of the Ministry of Economic Affairs A8 Β8 C8 D8 VI. Patent application scope 1 1 The surface measuring device described in the above item, wherein δHai retest unit is a bridge circle instrument. 1 2. The surface measuring device according to item 1 of the scope of patent application, wherein the surface is wet with liquid. 1 3. A semiconductor processing apparatus comprising: a wafer processing station; and a measurement station separated from but connected to the wafer processing station, wherein the measurement station includes a measurement area where an ultraviolet light source is irradiated on the surface. 1 4 · The semiconductor buried device described in item 13 of the scope of patent application, wherein the measurement area is wetted by a liquid. 1 5 · The semiconductor processing device described in item 13 of the scope of patent application 'wherein The measurement unit includes an optical element including a curved reflective surface. A semiconductor processing device includes: a wafer processing station; and a measurement station separated but connected to the wafer processing station. The measurement station includes a measurement area where an ultraviolet light source is irradiated on the surface, and at least one spectrometer is optically connected to the measurement area on the surface. 1 7 · The semiconductor described in item 16 of the scope of patent application Processing device 'wherein the measurement area is wet with liquid. 18 · —A semiconductor processing device including: a wafer processing station; and a measurement station separated but connected to the wafer processing station, where the measurement The station includes a wafer chuck for rotating the wafer relative to the measurement station. The paper size applies the Chinese National Standard (CNS) A4 specification (210 × 297 mm). (Please read the precautions on the back before filling (This page) 2- 493205 A8 B8 C8 D8 VI. Patent application scope 19. The semiconductor processing device described in item 18 of the patent application scope, wherein the measurement area is wet with liquid. 2 0. A surface reflectometer, including a light source, an object-side optical instrument that can be translated relative to a wafer surface, and at least one photodetector. 2 1. Surface reflection as described in item 20 of the scope of patent application The measurement area on the surface of the wafer is wet with liquid. (Please read the notes on the back before filling this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -3- This paper size applies to Chinese national standards (CNS) A4 specification (210X297 mm)
TW89105382A 1999-03-22 2000-03-22 Method and apparatus for wafer metrology TW493205B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12546299P 1999-03-22 1999-03-22
US12891599P 1999-04-12 1999-04-12
US14319999P 1999-07-09 1999-07-09
US17285199P 1999-12-10 1999-12-10
US09/495,821 US6690473B1 (en) 1999-02-01 2000-02-01 Integrated surface metrology

Publications (1)

Publication Number Publication Date
TW493205B true TW493205B (en) 2002-07-01

Family

ID=27537723

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89105382A TW493205B (en) 1999-03-22 2000-03-22 Method and apparatus for wafer metrology

Country Status (5)

Country Link
EP (1) EP1163488A1 (en)
JP (1) JP2002540388A (en)
AU (1) AU4175800A (en)
TW (1) TW493205B (en)
WO (1) WO2000057127A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11367644B2 (en) * 2017-07-28 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6744850B2 (en) 2001-01-11 2004-06-01 Therma-Wave, Inc. X-ray reflectance measurement system with adjustable resolution
US6507634B1 (en) 2001-09-19 2003-01-14 Therma-Wave, Inc. System and method for X-ray reflectometry measurement of low density films
US6738136B2 (en) 2001-11-09 2004-05-18 Therma-Wave, Inc. Accurate small-spot spectrometry instrument
EP1697967A1 (en) * 2003-12-25 2006-09-06 Ebara Corporation Substrate holding apparatus, substrate holding method, and substrate processing apparatus
DE102004033195A1 (en) * 2004-07-09 2006-02-23 Leica Microsystems Semiconductor Gmbh Device for inspecting a microscopic component
DE102004033208B4 (en) * 2004-07-09 2010-04-01 Vistec Semiconductor Systems Gmbh Device for inspecting a microscopic component with an immersion objective
SG195592A1 (en) * 2007-12-27 2013-12-30 Lam Res Corp Arrangements and methods for determining positions and offsets in plasma processing system
US8954287B2 (en) 2007-12-27 2015-02-10 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
WO2010013325A1 (en) * 2008-07-30 2010-02-04 株式会社ニレコ Spectrophotometer
US8547538B2 (en) * 2011-04-21 2013-10-01 Applied Materials, Inc. Construction of reference spectra with variations in environmental effects
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
WO2017198764A1 (en) * 2016-05-20 2017-11-23 Sentech Instruments Gmbh Device and method for measuring layer thicknesses and indices of refraction of layers on rough and smooth surfaces
WO2023114535A1 (en) * 2021-12-17 2023-06-22 Carnegie Mellon University System, method, and computer program product for optical vibration sensing

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402613A (en) * 1979-03-29 1983-09-06 Advanced Semiconductor Materials America Surface inspection system
JPS57161641A (en) * 1981-03-31 1982-10-05 Olympus Optical Co Ltd Inspecting device for defect of surface
US4659220A (en) * 1984-10-22 1987-04-21 International Business Machines Corporation Optical inspection system for semiconductor wafers
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
IL111229A (en) * 1994-10-10 1998-06-15 Nova Measuring Instr Ltd Autofocusing microscope
US5835225A (en) * 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
US5825498A (en) * 1996-02-05 1998-10-20 Micron Technology, Inc. Ultraviolet light reflectance method for evaluating the surface characteristics of opaque materials
AU3042197A (en) * 1996-06-15 1998-01-07 Unova U.K. Limited Grinding machine spindle flexibly attached to platform
JP2001512576A (en) * 1997-02-21 2001-08-21 ブラジンスキー,シドニー Method for inspecting defects by scanning a semiconductor wafer
US6108091A (en) * 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11367644B2 (en) * 2017-07-28 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography

Also Published As

Publication number Publication date
WO2000057127A1 (en) 2000-09-28
JP2002540388A (en) 2002-11-26
EP1163488A1 (en) 2001-12-19
AU4175800A (en) 2000-10-09
WO2000057127A9 (en) 2002-03-28

Similar Documents

Publication Publication Date Title
TW493205B (en) Method and apparatus for wafer metrology
US6563586B1 (en) Wafer metrology apparatus and method
US7738113B1 (en) Wafer measurement system and apparatus
US8817249B2 (en) Device and method for inspecting moving semiconductor wafers
EP2474027B1 (en) Metrology systems and methods
US6624879B2 (en) Exposure apparatus and method for photolithography
JP2002512697A (en) Scanning probe optical microscope using a solid immersion lens.
JP5112650B2 (en) Method and system for determining drift of the position of a light beam relative to a chuck
US7092082B1 (en) Method and apparatus for inspecting a semiconductor wafer
TW200905418A (en) Exposure apparatus, exposure method and device manufacturing method
TWI445919B (en) System of 2d code detection and thickness measurement for glass substrate, and method of the same
JP2008083059A (en) Measuring system and measuring device for wafer
JPH09218017A (en) Method and equipment for measuring thickness of film
US6521889B1 (en) Dust particle inspection apparatus, and device manufacturing method using the same
WO2016054266A1 (en) Wafer edge inspection with trajectory following edge profile
US7177019B2 (en) Apparatus for imaging metrology
US20050157308A1 (en) Apparatus and method for measuring thickness variation of wax film
US7042580B1 (en) Apparatus for imaging metrology
JP2001066127A (en) Optical surface inspecting mechanism and its device
JP2001083098A (en) Optical surface inspection mechanism and device
JP2003036118A (en) Appearance inspection device
JPS6375543A (en) Pattern inspection device
JP2016017854A (en) Shape measurement device
KR20220084274A (en) Fixed lens unit and semiconductor inspection device
JP2010185807A (en) Surface profile measuring device, surface profile measuring method, exposure system, and device manufacturing method

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees