KR101512079B1 - 막 증착 장치 및 방법 - Google Patents

막 증착 장치 및 방법 Download PDF

Info

Publication number
KR101512079B1
KR101512079B1 KR20080030850A KR20080030850A KR101512079B1 KR 101512079 B1 KR101512079 B1 KR 101512079B1 KR 20080030850 A KR20080030850 A KR 20080030850A KR 20080030850 A KR20080030850 A KR 20080030850A KR 101512079 B1 KR101512079 B1 KR 101512079B1
Authority
KR
South Korea
Prior art keywords
substrate
reactor
film
reaction
reaction chamber
Prior art date
Application number
KR20080030850A
Other languages
English (en)
Other versions
KR20080090328A (ko
Inventor
정상진
김대연
김정수
박형상
이춘수
Original Assignee
한국에이에스엠지니텍 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국에이에스엠지니텍 주식회사 filed Critical 한국에이에스엠지니텍 주식회사
Publication of KR20080090328A publication Critical patent/KR20080090328A/ko
Application granted granted Critical
Publication of KR101512079B1 publication Critical patent/KR101512079B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 기판 위에 막을 형성하기 위한 증착 장치 및 증착 방법을 기재하고 있다. 서로 다른 방향을 갖는 반응 기체에 기판을 노출시켜 기판 위에 막을 형성한다. 한 실시예에서, 기판 위에 중간 두께를 갖는 막을 형성한 후기판을 한 반응실에서 다른 반응실로 이송된다. 따라서 균일한 두께를 갖는 막이 증착되어, 두께 불균형으로 인해 발생하는 디플리션 효과(depletion effect)를 상쇄시킨다.
수평흐름, 원자층, 증착, 회전, 반응기

Description

막 증착 장치 및 방법{APPARATUS AND METHOD OF DEPOSITING FILM}
본 발명은 막 증착 장치 및 방법에 관한 것이다.
반도체 소자의 제조에 있어서 기판 위에 고품질의 박막을 형성하고자 하는 장치나 공정에 대하여 개선하는 노력이 계속되고 있다. 최근에 두 가지 이상의 반응원료를 시간적으로 분리하여 순차적으로 기판 위에 공급하여 표면 반응을 통해 박막을 성장시키고, 이를 반복적으로 수행하여 원하는 두께의 박막을 형성하는 원자층 증착 (atomic layer deposition, ALD) 방법이 제안되었다. 표면 반응에 의해 막이 형성되기 때문에 이와 같은 공정을 이용하면, 기판의 요철에 관계없이 기판의 표면전체에서 균일한 두께의 막을 얻을 수 있고, 막에 섞이는 불순물을 줄일 수 있어서 우수한 성질의 막을 형성할 수 있다.
원자층 증착법에서는 보통 밸브를 사용하여 제 1 반응 원료 기체→불활성 퍼지 기체→제 2 반응 원료 기체→불활성 퍼지 기체의 순서로 반응기에 순차적으로 공급하여 반응 원료 기체들이 반응실 안에서 기체 상태로 만나지 않도록 한다. 반응 원료 기체 중의 일부를 플라즈마로 활성화시켜서 사용할 수도 있다. 순차적인 기체 공급 주기에 시간적으로 일치시켜 플라즈마를 반응기 안에서 단속적으로 발생 시키는 플라즈마 원자층 증착법이 한국 특허 제273473호와 미국 특허 US 6,645,574에 공개되었다.
원자층 증착에 사용되는 원자층 증착 장치 중, 기판에 평행한 방향으로 기체가 흐르는 수평 흐름 원자층 반응기는 반응기 안에서 기체의 흐름이 빠르고 단순하기 때문에 반응기 안의 기체 분위기를 빠른 속도로 전환할 수 있고 필요한 공정 기체들을 순차적으로 공급하는 기체 공급 주기에 필요한 시간을 최소화할 수 있다. 이러한 수평 흐름 원자층 반응기의 예가 대한민국 특허 제 624030호 및 미국 특허 US 6,539,891 등에 의해 시분할 원료공급 원자층 증착 방법에 적합한 반응기 및 이를 이용한 박막 제조 방법이 개시되었다. 또한, 이를 개량한 예가 한국 특허 출원 제2005-0038606호 및 미국 특허 출원 11/429,533호로 출원되었다. 이 반응기에서는 라디오파(RF) 전력을 공급하는 전극에 전력을 기체 공급 주기에 시간적으로 맞추어 공급하여 플라즈마 원자층 증착법을 실시할 수도 있다.
수평 흐름 원자층 반응기의 다른 예가 미국특허 US 5,711,811, 미국 특허 US 6,562,140에 개시되었다. 상기 발명에서는 반응기 내부에서 기판이 놓인 면과 기판에 마주한 면 사이의 간격을 일정하게 하여 기판 위에서 기체의 흐름을 균일하고 층흐름(laminar flow)에 가깝게 유지한다.
기판 위에 원자층이 한 층씩 형성된다면 완전히 균일한 막을 형성할 수 있겠지만 여러 가지 이유로, 특히 기체 원료 공급 주기의 시간을 줄여서 증착 속도를 높이고 장비의 생산성을 높일 필요가 있기 때문에 실제 사용에서는 원자층 증착법으로 형성한 막에 약 3% 정도의 불균일도가 흔히 존재한다.
수평 흐름 원자층 증착 반응기를 사용할 경우, 이러한 불균일은 주로 기체 흐름 방향으로 나타나고 보통 기체 유입부 쪽의 두께가 유출부보다 더 두껍다. 이러한 현상을 디플리션 효과(depletion effect)라고 한다.
본 발명의 기술적 과제는 기판에 형성하는 막의 균일도를 높일 수 있는 수평 흐름 원자층 증착 장치 및 수평 흐름 원자층 증착 방법을 제공하는 데에 있다.
본 발명의 한 특징에 따른 막 증착 방법은 기판 위에 막을 증착하는 방법으로서, 목표 두께보다 얇은 제1 두께를 갖는 제1 막이 상기 기판 위에 형성될 때까지 상기 기판에 대해 제1 방향으로 적어도 하나 혹은 그 이상의 반응 기체를 수평으로 상기 기판 위에 흘리는 단계, 상기 기판 위에 상기 반응 기체의 흘림을 중단하는 단계, 그리고 상기 목표 두께보다 얇은 제2 두께를 갖는 제2 막이 상기 기판 위에 형성될 때까지 상기 기판에 대해 제2 방향으로 상기 반응 기체를 수평으로 상기 기판 위에 흘리는 단계를 포함하고, 상기 제2 방향은 상기 제1 방향과 다르다.
상기 제1 두께 및 제2 두께 각각은 상기 목표 두께의 1/n(n은 2 이상의 자연수)일 수 있다.
여기서, n은 2 내지 8의 자연수인 것이 좋다.
상기 제2 방향은 상기 제1 방향에서부터 약 (360/n)°(n은 2 이상의 자연수)의 각도만큼 상이할 수 있다.
상기 막 증착 방법은 상기 반응 기체의 흐름 방향을 일정하게 유지하는 단계를 더 포함하고, 상기 반응 기체의 흘림을 중단하는 동안 상기 기판을 회전시키는 단계를 더 포함할 수 있다.
상기 막 증착 방법은 상기 기판의 배치를 일정하게 유지하는 단계를 더 포함하고, 상기 제2 방향으로 상기 반응 기체를 흘릴 때 상기 반응 기체의 방향을 변경하는 단계를 더 포함할 수 있다.
상기 막 증착 방법은 상기 기판의 배치를 변경하는 단계 및 상기 제2 방향으로 상기 반응 기체를 흘릴 때 상기 반응 기체의 방향을 변경하는 단계를 더 포함할 수 있다.
본 발명의 다른 특징에 따른 막 증착 방법은 기판 위에 막을 증착하는 방법으로서, 제1 반응기에서 제1 방향으로 적어도 하나의 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제1 막을 형성하는 단계, 상기 제1 반응기에서 제2 반응기로 상기 기판을 이송하는 단계, 그리고 상기 제2 반응기에서 제2 방향으로 상기 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제2 막을 형성하는 단계를 포함하고, 상기 제2 방향은 상기 기판에 대해 상기 제1 방향과 상이하며, 상기 제1 막과 상기 제2 막은 동일한 물질로 형성된다.
상기 제1 막은 목표 두께보다 얇은 제1 두께를 갖고, 상기 제2 막은 상기 목표 두께보다 얇은 제2 두께를 가질 수 있다.
상기 제1 두께 및 제2 두께 각각은 상기 목표 두께의 약 1/n(n은 2 이상의 자연수)인 것이 좋다.
여기서, n은 2 내지 8의 자연수인 것이 좋다.
상기 제2 방향은 상기 제1 방향에서부터 약 (360/n)°(n은 2 이상의 자연수)의 각도만큼 상이할 수 있다.
상기 제1 반응기에서 상기 반응 기체의 방향은 상기 제2 반응기에서 상기 반응 기체의 방향과 실질적으로 평행하고, 상기 기판 이송 단계는 상기 기판을 회전시키는 단계를 포함할 수 있다.
상기 제1 반응기에서 상기 반응 기체의 방향은 상기 제2 반응기에서 상기 반응 기체의 방향과 다른 각도를 가질 수 있다.
상기 제1 반응기에서 상기 반응 기체의 방향은 상기 제2 반응기에서 상기 반응 기체의 방향과 다른 각도를 가질 수 있고, 상기 기판 이송 단계는 상기 기판을 회전시키는 단계를 포함할 수 있다.
본 발명의 또 다른 특징에 따른 박막 증착 장치는 기판 위에 박막을 증착하는 장치로서, 상기 기판 위에 증착이 행해지는 밀폐된 반응 공간을 규정하는 반응실, 그리고 상기 기판 위에 증착이 이루어지지 않은 동안 상기 기판을 회전시켜 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치가 상기 회전이 이루어지기 전의 상기 기판의 배치와 다르게 하는 구동부를 포함하고, 상기 반응 공간은 상기 기판 위의 한 방향으로 층 기체(laminar gas) 흐름을 제공한다.
상기 반응실은 반응기 덮개 및 기판 지지대를 포함하고, 상기 반응기 덮개와 상기 기판 지지대는 상기 구동부가 상기 기판을 회전시키는 동안 분리되어 있을 수 있다.
상기 특징에 따른 박막 증착 장치는 상기 기판 지지대와 함께 상기 반응 공간을 규정하는 기체 흐름 유도 구조물을 더 포함할 수 있다.
상기 특징에 따른 박막 증착 장치는 반응기 덮개와 상기 기판이 서로 분리되어 있는 동안 상기 기판을 지지하는 지지핀을 더 포함하고, 상기 구동부는 상기 지지핀을 회전시킬 수 있다.
상기 구동부는 한번에 약 (360/n)°(n은 2 이상의 자연수)의 각도만큼 상기 기판을 회전시키는 것이 좋다.
여기서, n은 2 내지 8의 자연수인 것이 좋다.
본 발명의 또 다른 특징에 따른 박막 증착 장치는 기판 위에 박막을 증착하는 장치로서, 상기 기판 위에 증착이 행해지는 밀폐된 반응 공간을 각각 규정하는 복수의 반응실, 그리고 상기 복수의 반응실 중 한 반응실에서 다른 반응실로 상기 기판을 이송하는 이송 장치를 포함하고, 상기 반응 공간은 상기 기판 위의 한 방향으로 층 기체(laminar gas) 흐름을 제공하고, 상기 반응실은 상기 반응 공간으로 동일한 반응 기체를 제공하며, 한 반응실에서 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치는 다른 반응실에서 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치와 상이하다.
상기 반응실은 실질적으로 서로 동일한 방향으로 상기 반응 기체를 제공하고, 상기 이송 장치는 한 반응실에서 다른 반응실로 상기 기판을 이송하는 동안 상기 기판을 회전시킬 수 있다.
상기 반응실 각각은 반응기 덮개 및 기판 지지대를 포함하고, 상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대는 상기 이송 장치가 상기 기판을 회전시키는 동안 서로 분리되어 있을 수 있다.
상기 반응실 각각은 상기 기판 지지대와 함께 상기 반응 공간을 규정하는 기체 흐름 유도 구조물을 더 포함할 수 있다.
상기 특징에 따른 박막 증착 장치는 상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대가 적어도 서로 분리되어 있는 동안 상기 반응실의 상기 기판 지지대를 지지하는 바닥판을 더 포함하고, 상기 이송 장치는 상기 바닥판을 회전시킬 수 있다.
상기 이송 장치는 상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대가 서로 분리되어 있는 동안 상기 기판을 각각 지지하는 하나 이상의 아암을 포함하고, 상기 하나 이상의 아암은 상기 한 반응실에서 다른 반응실로 상기 기판을 이송할 수 있다.
상기 반응실은 실질적으로 밀폐된 통로를 형성하도록 정렬되어 있고, 상기 박막 증착 장치는 구체적으로 상기 통로의 중심부에 위치하고 상기 하나 이상의 아암과 연결된 아암축을 더 포함할 수 있으며, 상기 아암축은 상기 하나 이상의 아암을 회전시킬 수 있다.,
상기 반응실 중 적어도 두 개는 서로 다른 방향으로 상기 반응 기체를 제공할 수 있다.
상기 반응실 중 적어도 두 개는 약 (360/n)°의 각도만큼 다른 방향으로 상기 반응 기체를 제공할 수 있다.
상기 이송 장치는 한 반응실에서 다른 반응실로 상기 기판을 이송할 때 약 (360/n)°(n은 2 이상인 자연수)의 각도만큼 상기 기판을 회전시킬 수 있다.
여기서, n은 2 내지 8의 자연수인 것이 좋다.
상기 이송 장치는 상기 기판을 회전시킴으로 하나의 반응실에서 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치를, 다른 반응실에서의 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치와 다르게 하는 로봇 팔을 포함할 수 있다.
일정 방향으로 원료 기체를 공급하여 기판 위에 원하는 두께의 일부를 증착하고, 원하는 두께의 일부를 증착한 상기 기판을 이동하여, 기판을 중심으로 원료 기체 흐름의 방향을 바꾸고, 원료 기체 흐름의 방향이 바뀐 기판 위에 원하는 두께의 일부를 증착하는 것을 반복함으로써, 균일도가 향상된 막을 형성할 수 있다.
첨부한 도면을 참고로 하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다.
그러면, 도 1a 및 도 1b를 참고로 하여, 본 발명의 실시예에 따른 수평 흐름 원자층 증착 장치에 대하여 상세하게 설명한다. 도 1a 및 도 1b는 본 발명의 한 실시예에 따른 수평 흐름 원자층 증착 장치의 단면도로서, 도 1a는 박막 증착 시의 단면도를 도시하고, 도 1b는 박막 증착 사이의 단면도를 도시한다.
도 1a 및 도 1b를 참고하면, 본 발명의 실시예에 따른 원자층 증착 장치(100)는 외벽(198), 기판을 지지하는 기판 지지대(160), 기판 지지대(160) 위에 형성되어 있으며 상기 기판 지지대(160)와 접촉한 상태에서 반응실(151)을 규정하는 반응기 덮개(101), 반응기 덮개(101)에 연결되고 상기 반응실 내로 공정 기체를 유입 및 배출하는 기체 유입구(110, 112) 및 배출구(120), 기판 지지대(160)를 가열하는 기판 지지대 가열 장치(170), 기판 지지대(160)를 구동하는 기판 지지대 구동부, 기판(150)을 회전하는 기판 회전 구동부를 포함한다. 기체 유입구(110, 112)는 하나일 수도 있다.
반응기 덮개(101)에는 반응기 덮개 가열 장치(130)가 구비될 수도 있다. 반응기 덮개(101) 내부에는 기체의 흐름을 유도하는 기체 흐름 유도 구조물(gas flow control guide structure)(140, 142)이 있어서 기판(150) 위에서 기체가 기판(150)과 수평한 방향으로 흐르게 하고 반응실 안에서 기체의 흐름을 층흐름에 가깝게 유지한다.
기판 지지대 구동부는 기판 지지대(160)에 연결되어 있는 3개 이상의 지지축(184, 185)과 지지축을 상하 구동하기 위한 공압실린더(도시하지 않음)를 포함할 수 있다.
기판 회전 구동부는 기판(150)을 지지하는 중앙 지지핀(172), 중앙 지지핀(172)이 삽입되어 있는 중앙 지지핀 받침(173)과 중앙 지지핀 받침(173)과 연결되어 있는 중앙지지핀 회전 수단을 포함하는데, 중앙 지지핀 회전 수단은, 스텝 모터 등과 같은 전기 모터(175)와, 전기 모터(175)에 연결되어 있는 회전용 피드스 루(feed-through)(174), 전기 모터(175)가 장착되어 있는 고정판(176)과 고정판(176)과 연결되어 있는 고정축(177)을 포함한다. 또한, 중앙 지지핀 상하 구동 수단(도시하지 않음)을 더 포함할 수 있다. 중앙 지지핀 상하 구동 수단은 전기 모터나 공압 실린더를 사용할 수 있다.
중앙 지지핀 받침(173)에 삽입된 중앙 지지핀(172)의 하부 중 일부는 모따기 등으로 제거되어, 중앙 지지판(172)의 하부는 완전한 원통형이 아니기 때문에 중앙 지지핀 회전 수단의 회전 운동은 중앙 지지핀 받침(173)을 통해 효과적으로 중앙 지지핀(172)에 전달된다. 중앙 지지핀(172)은 중앙 지지핀 받침(173)과 상하 방향으로 이동할 수도 있다.
중앙 지지핀 회전 수단은 전기 모터(175) 등의 전기적인 수단뿐만이 아니라 공기압을 사용하여 정해진 각도만큼 회전하는 장치를 포함할 수 있다.
그러면, 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에 대하여, 도 1a 및 도 1b와 함께 도 2a 및 도 2b를 참고로 하여 상세하게 설명한다.
먼저, 본 발명의 실시예에 따른 수평 흐름 원자층 증착 방법에 대하여, 도 2a 및 도 2b를 참고로 설명한다. 본 발명의 실시예에 따른 수평 흐름 원자층 증착 방법은 기판에 대해 원료 기체를 일정한 방향으로 흘리면서 필요한 두께의 막을 형성하는 대신 공정 기체의 방향을 바꾸어 가며 필요한 두께의 막을 형성한다.
도 2a에 도시한 바와 같이, 기판(210) 위에 일정한 방향(X)으로 원료 기체를 흘리며 원하는 두께의 약 50%까지 막을 형성한 후, 도 2b에 도시한 바와 같이, 원료 기체가 흐르는 방향(X')을 바꾼 뒤, 나머지 약 50% 두께의 막을 형성한다.
이때, 일정한 방향으로 기체가 흐르는 반응기 안에서 기판(210)을 180° 회전할 수 있고, 일정한 방향(X)으로 원료 기체가 흐르는 한 반응기에서 원하는 두께의 약 50%까지 막을 형성한 후, 반대 방향(X')으로 원료 기체가 흐르는 다른 반응기로, 기판(210)을 이동시킨 후, 나머지 약 50% 두께의 막을 형성할 수도 있다.
또한, 도시하지는 않았지만, 원하는 막 두께를 약 2번으로 나누어 약 50%씩 증착하는 대신, 약 3번에 나누어 약 1/3 정도 두께씩 형성할 수 있다. 즉, 원하는 막 두께의 약 1/3 정도까지 막을 형성한 후 기판을 120° 회전하고, 다시 1/3 두께까지 막을 더 형성하고, 기판을 120° 회전한 후 나머지 1/3 두께의 막을 형성할 수도 있다.
즉, 본 발명의 실시예에 따르면, 필요한 두께의 막을 일정한 방향으로 기체가 흐르는 반응기 내부에서, 약 n번에 나누어 원하는 막 두께의 약 1/n정도 막을 형성한 후 (360/n)° 만큼씩 기판을 회전하는 것을 n회 반복할 수 있다. 이때, n은 2 내지 8의 자연수일 수 있고, n은 2, 3, 4중 하나인 것이 바람직하다.
한편, 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에 의하면, 일정 방향으로 기체가 흐르는 반응기에서 원하는 막 두께의 약 1/n 정도 막을 증착한 후, 앞선 기체 흐름 방향으로부터 (360/n)°정도 회전한 방향으로 반응 기체가 흐르는 반응기로 기판을 이동하여 원하는 막 두께의 약 1/n 정도 막을 형성하는 것을 n 번 반복할 수도 있다. 이때, n은 2 내지 8의 자연수일 수 있고, n은 2, 3, 4중 하나인 것이 바람직하다.
이와 같이 본 발명의 실시예에 따르면, 필요한 두께의 막을 일정한 방향으로 기체가 흐르는 반응기 내부에서, 원하는 막 두께의 약 1/n정도 막을 형성한 후 (360/n)° 만큼씩 기판을 회전하고 증착하는 것을 n회 반복하거나, 또는 일정 방향으로 기체가 흐르는 반응기에서 막 원하는 막 두께의 약 1/n 정도 막을 증착한 후, 앞의 기체 흐름 방향으로부터 (360/n)°정도 회전한 방향으로 반응 기체가 흐르는 반응기로 기판을 이동하여 원하는 막 두께의 약 1/n 정도 막을 형성하는 것을 n 번 반복함으로써, 균일도가 향상된 막을 형성할 수 있다.
한편, 기판을 회전하거나 한 반응기로부터 다른 한 반응기로 기판을 이동시키는 데에는 시간이 필요하고, 이 시간 동안은 기판에 막이 형성되지 않으므로, n이 지나치게 커지면 장비의 생산성이 떨어진다. 그러나 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에서 n은 2 내지 8의 자연수이므로 원자층 증착의 생산성의 저하를 낮출 수 있다.
이처럼, 본 발명의 실시예에 따른 수평 흐름 원자층 증착 방법에 의할 경우, 균일도가 향상된 막을 형성할 수 있다.
그러면, 도 1a 및 도 1b를 이용하여 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에 따라 막을 증착하는 방법에 대하여 설명한다.
먼저, 도 1a에 도시한 바와 같이, 기판 지지대(160)가 반응기 덮개(101)에 접촉하여, 기판(150)을 반응실(151) 내에 장착한 상태에서 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/2 두께까지 막을 형성한다.
그 후, 도 1b에 도시한 바와 같이, 원료 기체의 공급을 멈추고 기판 지지대 구동부를 사용하여 기판 지지대(160)를 하강한다. 이때, 중앙 지지핀(172)은 하강 하지 않고, 이에 의하여 기판(150)은 기판 지지대(160)로부터 분리된다. 기판(150)이 기판 지지대(160)에서 분리된 후, 전기 모터(175)가 회전 운동하고, 이러한 회전 운동이 피드스루(feed-through)(174)를 통해 전해져서, 중앙 지지핀(172)과 기판(150)을 약 180°정도 회전한다. 기판(150)을 회전한 후, 기판 지지대 구동부를 사용하여 기판 지지대(160)를 상승하여, 반응기 덮개(101)에 밀착시켜, 기판(150)을 반응실(151) 내에 다시 장착하고, 원료 기체들을 순차적으로 공급하여 나머지 약 1/2 두께의 막을 형성한다.
원하는 두께의 박막이 형성된 후, 원료 기체의 공급을 멈추고 기판 지지대 구동부를 사용하여 기판 지지대(160)를 하강한다. 이때, 중앙지지핀(172)은 하강하지 않고, 이에 의하여 기판(150)은 기판 지지대(160)로부터 분리된다. 다음으로, 기판 이송 장치의 로봇 팔(robot arm)(도시하지 않음)로 기판(150)을 반응기에서 꺼내고 새 기판을 반응기에 올려놓는다.
이때, 수직 방향으로 움직이지 않는 로봇 팔을 사용하는 경우에 로봇 팔이 반응기 안에 들어와서 기판(150)을 받을 수 있는 위치에 오면 중앙 지지핀 상하 구동 수단을 사용하여 중앙 지지핀(172), 중앙 지지핀 받침(173), 중앙 지지핀 회전 수단을 함께 하강한다. 중앙 지지핀(172)이 충분히 하강하여 로봇 팔이 기판(150)을 받침으로써, 기판(150)이 중앙 지지핀(172)으로부터 분리되면 로봇 팔을 움직여 기판(150)을 꺼내고 새 기판을 같은 위치로 가져 온다. 이 상태에서 중앙 지지핀 상하 구동 수단을 사용하여 중앙 지지핀(172), 중앙 지지핀 받침(173), 중앙 지지핀 회전 수단을 함께 상승하여, 중앙 지지핀(172)이 기판(150)을 받치면 로봇 팔을 반응기 바깥으로 제거한다.
한편, 로봇 팔이 수직 방향으로 움직일 수 있다면 중앙 지지핀(172)의 상하이동 없이 기판(150)을 이송할 수 있으므로, 중앙 지지핀 상하 구동 수단은 생략할 수 있다. 중앙 지지핀 상하 구동 수단은 전기 모터나 공압 실린더를 사용할 수 있다.
기판 지지대 구동부를 사용하여 기판 지지대(160)를 상승하여, 반응기 덮개(101)에 밀착시키고 같은 방법으로 새 기판에 2번에 걸쳐 약 1/2 두께의 막을 형성할 수 있다.
만일, 원하는 두께의 막을 약 n번으로 나누어 증착하는 경우에도 마찬가지로, 약 1/n 두께까지 막을 형성한 후 (360/n)° 만큼 중앙 지지핀(172)과 기판(150)을 회전하는 것을 반복한다.
앞서 설명하였듯이, 중앙 지지핀 회전 수단은 임의의 각도만큼 회전하는 것이 아니라 n=2, 3, 4인 경우 약 180°, 약 120°, 약 90° 만큼씩 회전하므로, 이때, 중앙 지지핀 회전 수단은 스텝 모터 등의 전기적인 수단뿐만이 아니라 공기압을 사용하여 정해진 각도만큼 회전하는 장치를 포함할 수도 있다.
그러면, 도 3을 참고하여, 본 발명의 다른 한 실시예에 따른 수평 흐름 원자층 증착 장치에 대하여 설명한다.
본 발명의 다른 실시예에 따른 원자층 증착 장치는 복수의 수평 흐름 원자층 증착 반응기 사이에서 기판을 이동시키는 기판 이동 수단을 포함하는데, 각 반응기에서의 반응 기체 흐름의 방향은 복수의 반응기를 포함하는 챔버 내에서는 모두 동 일하지만, 각 반응기에 장착되어 있는 기판에 대하여 서로 다르다.
도 3을 참고하면, 본 실시예에 따른 원자층 증착 장치는 도 1a 및 도 1b에 도시한 원자층 증착 장치와 유사하다. 하지만, 도 1a 및 도 1b에 도시한 원자층 증착 장치와는 달리 챔버 내에 복수 개의 반응기가 구비되어 있는데, 도면에서는 두 개의 반응기만 도시하였다.
반응기는 반응기 덮개(301a, 301b)와 기판 지지대(360a, 360b), 그리고 기판 지지대(360a, 360b)에 삽입된 기판 지지핀(361a, 361b)으로 이루어지며, 반응기 덮개(301a, 301b)와 기판 지지대(360a, 360b)는 반응실을 규정한다. 이때, 기판 지지대(360a, 360b)는 위아래로 움직일 수 있어서 아래 위치에서 기판을 안착시킨 후, 위로 올려서 반응기 덮개(301a, 301b)에 밀착시켜 원자층 증착을 수행할 수 있는 반응기를 구성한다.
반응기의 각 반응기 덮개(301a, 301b)는 원료 기체의 유출입 통로인 유입구(310, 312)와 유출구(320)를 가진다. 기체 유입구(310, 312)는 하나일 수도 있다.
각 반응기 덮개(301a, 301b)의 내부에는 기체의 흐름을 유도하는 구조물(340, 342)이 있어서 기판 위에서 기체가 기판과 수평한 방향으로 흐르게 하고 반응실 안에서 기체의 흐름을 층흐름에 가깝게 유지한다.
반응기 덮개(301a, 301b) 안의 원료 기체는 모든 반응기 안에서 같은 방향으로 흐르지만, 하나의 기판이 이동하면서 각 반응기를 통과할 때, 각 반응기 내에서의 원료 기체의 흐름은 기판에 대하여 서로 다르다.
도시하지는 않았지만, 각 반응기에 대응하는 원료 기체의 하나의 원료 공급 장치로부터 대칭적으로 분기되어 있는 원료 공급관이 각 반응기의 원료 유입구에 연결되어 있을 수도 있다. 또한, 각각의 반응기의 유출구에 연결된 배기관은 대칭적으로 하나의 배기관에 합류하여 배기 펌프에 연결되어 있을 수도 있다.
도 3을 참고하면, 본 실시예에 따른 원자층 증착 장치는 기판 지지대(360a, 360b)를 지지하고 있는 바닥판(372), 기판 지지대(360a, 360b)가 아래로 내려갈 때 기판을 지지하는 지지핀(361a, 362b)과, 지지핀(361a, 362b)을 지지하는 지지핀 받침대(365a, 365b)를 포함한다.
또한, 본 실시예에 따른 원자층 증착 장치는 기판 이동부를 포함하고, 이 기판 이동부를 통해 각 반응기의 기판들은 각 반응기로 장착 또는 탈착되고, 각 반응기들 사이로 이동할 수 있다. 기판 이동부는 증착 장치의 외부 덮개에 연결되어 있는 구동 가이드축(382), 구동 가이드축(382)이 연결되어 있는 하부 고정판(381), 베어링(386)을 통해 구동 가이드축(382)에 연결되어 있는 구동판(383), 바닥판(372)의 돌출부가 삽입되어 있는 연결 받침대(373), 회전용 피드스루(374)와 전기 모터(375), 그리고 상하 구동용 공압 실린더(384)와 상하 수축형 밸로우즈(bellows)(385)를 포함한다.
기판 이동부에 연결되어 있는 바닥판(372)은 기판 이동부에 의하여, 회전 및 상하 구동이 가능하다.
먼저, 상하 이동에 대하여 살펴보면, 기판 이동부의 상하 구동용 공압 실린더(384)는 전기 모터(375) 및 회전용 피드스루(374)가 장착되어 있는 구동판(383) 을 상하로 이동할 수 있고, 이러한 상하 운동은 전기 모터(375)의 회전축(376)과 연결 받침대(373)를 통해 기판 지지대(360a, 360b)를 지지하는 바닥판(372)에 전해져, 기판 지지대(360a, 360b)가 상하 운동하게 된다. 이때, 상하 수축형 밸로우즈(385)는 상하 운동 시 적절한 구동 변위를 허용하는 역할을 한다.
기판 지지대(360a, 360b) 및 바닥판(372)이 아래로 이동하여, 기판이 반응기로부터 분리되면, 전기 모터(375)가 회전함으로써, 이러한 회전 운동이 회전용 피드스루(374)와 연결 받침대(373)를 통해 바닥판(372)으로 전해져서, 바닥판(372)이 회전함으로써, 기판 지지대(360a, 360b)가 회전하게 되고, 기판 지지대(360a, 360b) 위에 놓여 있는 기판은 한 반응기로부터 다른 반응기로 이동하게 된다.
도 3에서는 2개의 반응기를 도시하였지만, 본 실시예에 따른 원자층 증착 장치의 반응기는 2개 내지 8개 일 수 있으며, 2개 내지 4개인 것이 바람직하다.
그러면, 도 3에 도시한 수평 흐름 원자층 증착 장치로 원자층을 증착하는 방법에 대하여 설명한다.
먼저, 기판 지지대(360a, 360b)에 모두 기판을 올려놓고, 반응기 덮개 (301a)는 기판 지지대(360a)와, 반응기 덮개(301b)는 기판 지지대(360b)와 밀착한 상태에서 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/2까지 막을 증착한다.
그 후, 원료 기체의 공급을 멈추고 기판 지지대를 하강한다. 바닥판을 약 180° 만큼 회전하여 반응기 덮개(301a, 301b) 아래에 각기 기판 지지대(360b, 360a)가 놓이도록 한다. 이때, 각기 기판 지지대(360a, 360b) 위에 놓여 있는 기 판은 기판 지지대(360a, 360b)의 회전에 의하여 각기 반응기 덮개(301b, 301a) 아래로 이동하게 된다.
기판 지지대를 상승시켜, 반응기 덮개(301a)는 기판 지지대(360b)와, 반응기 덮개(301b)는 기판 지지대(360a)와 밀착시킨 후, 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/2정도 막을 증착한다.
원하는 두께의 박막이 형성되면, 기판 지지대를 하강한 후 원하는 두께의 막이 형성된 기판 2장을 꺼내고, 새 기판 2장을 올려놓은 후 이 과정을 되풀이한다.
이처럼, 본 발명의 실시예에 따른 수평 흐름 원자층 증착 장치를 이용하여, 기판에 대한 원료 기체 흐름의 방향을 약 180°정도씩 회전하면서, 원하는 두께의 약 1/2씩 증착함으로써, 균일도가 향상된 막을 형성할 수 있고, 2개 이상의 기판을 함께 처리할 수 있다.
본 실시예에 따르면, 기판을 한 반응실 내에서 직접 회전시키는 앞선 실시예와는 달리, 기판을 지지하는 기판 지지대를 회전시켜 2개 이상의 기판을 서로 다른 반응기들 사이로 이동시킴으로써, 기판에 대한 원료 기체 흐름의 방향을 변경할 수 있다.
본 실시예에서는 반응기가 2개인 경우에 대하여 설명하였지만, 앞서 설명하였듯이, 반응기는 2개 내지 8개 일 수 있으며, 2개 내지 4개인 것이 바람직하다. 따라서, 기판을 이동시키는 기판 지지대의 회전 각도는 반응기의 개수에 따라 달라지는데, 반응기의 개수가 2개 내지 4개인 경우, 약 180°, 약 120°, 약 90°정도일 수 있다.
그러면, 도 4a 및 도 4b를 참고하여, 본 발명의 다른 한 실시예에 따른 수평 흐름 원자층 증착 장치에 대하여 설명한다. 도 4a는 본 발명의 다른 한 실시예에 따른 수평 흐름 원자층 증착 장치의 반응기를 나타내는 도면이고, 도 4b는 도 4a에 도시한 수평 흐름 원자층 증착 장치의 챔버의 일부를 도시한 평면도이다.
본 발명의 다른 실시예에 따른 원자층 증착 장치는 복수의 수평 흐름 원자층 증착 반응기와 이들 원자층 증착 반응기 사이에 기판을 운반하는 기판 이송 수단을 포함하는데, 각 반응기에서의 반응 기체 흐름의 방향은 복수의 반응기를 포함하는 챔버 내에서는 모두 동일하지만, 각 반응기에 장착되어 있는 기판에 대하여 서로 다르다.
도 4a를 참고하면, 기판에 막을 형성하기 위한 챔버(400) 내에는 3개의 반응기가 구비되어 있다. 각각의 반응기는 반응기 덮개(410a, 410b, 410c)와 기판 지지대(420a, 420b, 420c), 그리고 기판 지지대(420a, 420b, 420c)에 삽입된 지지핀(460a, 460b, 460c)으로 이루어지며, 반응기 덮개(410a, 410b, 410c)와 기판 지지대(420a, 420b, 420c)는 반응실을 규정한다. 원료 기체의 유출입 통로인 유입구(402a, 402b, 402c)와 유출구(404a, 404b, 404c)가 각각 구비된 반응기 덮개(410a, 410b, 410c)는 챔버 덮개(400)에 고정된다. 반응기 덮개 내부에는 기체의 흐름을 유도하는 구조물(도시하지 않음)이 있어서 기판 위에서 기체가 기판과 수평한 방향으로 흐르게 하고 반응실 안에서 기체의 흐름을 층흐름에 가깝게 유지한다.
반응기 덮개(410a, 410b, 410c) 안의 원료 기체는 모든 반응기 안에서 같은 방향으로 흐르지만, 하나의 기판이 이동하면서 각 반응기를 통과할 때, 각 반응기 내에서의 원료 기체의 흐름은 기판에 대하여 서로 다르다.
도 4a에서는 반응기 덮개에 원료 기체의 유입구 및 유출구가 구비되어 있고 원료 기체의 유입구(402a, 402b, 402c) 및 유출구(404a, 404b, 404c)는 챔버 덮개(400)를 통해 별도의 원료 공급 장치 및 배기 장치에 각각 연결되어 있는 것으로 도시하였으나, 하나의 원료 공급 장치가 챔버 덮개(400)에 구비되어 있고 상기 원료 공급 장치에서 각각의 상기 반응기에 대칭적으로 분기하는 원료 공급관이 각각의 반응기 덮개(410a, 410b, 410c)의 원료 유입구에 연결되어 있을 수도 있다. 또한, 각각의 반응기의 유출구에 연결된 배기관은 대칭적으로 하나의 배기관에 합류하여 배기 펌프에 연결되어 있을 수도 있다. 기판이 놓이는 기판 지지대(420a, 420b, 420c)에는 기판을 가열할 수 있는 가열장치(미도시)가 내장되어 있다.
기판 지지대(420a, 420b, 420c)는 위아래로 움직일 수 있어서 아래 위치에서 기판을 안착시킨 후, 위로 올려서 반응기 덮개(410a, 410b, 410c)에 밀착시켜 원자층 증착을 수행할 수 있는 반응기를 구성한다.
한편, 기판 지지대(420a, 420b, 420c)가 아래로 내려갈 때 기판을 지지할 수 있는 지지핀(460a, 460b, 460c)을 포함한다. 도 4a에서는 원형의 지지핀 1개가 중앙에 설치된 것을 도시하였으나 지지핀은 다른 모양일 수 있다. 예를 들어 중앙에서 떨어진 위치에 기판에 점 접촉하는 3개의 지지핀을 사용할 수도 있다.
챔버(400)의 외벽을 형성하는 챔버벽의 한 측면에는 기판의 출입 통로를 제공하는 기판 출입구(440)가 구비되어 있다. 기판 입출입구(440)를 통해 각각의 반 응기로 기판을 장착하거나 탈착시킬 수가 있다.
그러면 도 4b를 참조하여, 본 실시예에 따른 수평 흐름 원자층 증착 장치에서 기판을 이동하는 수단에 대하여 설명한다.
도 4b를 참고하면, 본 실시예에 따른 수평 흐름 원자층 증착 장치의 챔버는 기판을 장착 및 탈착시키기 위한 아암(490a, 490b, 490c)과 아암(490a, 490b, 490c)이 부착된 아암축(492)을 더 포함한다.
아암(490a, 490b, 490c)이 부착된 아암축(492)에는 상하운동 및 회전운동을 가능하게 하는 구동수단이 연결되어 있다. 아암(490a, 490b, 490c)은 기판을 지지할 수 있고 지지핀의 상하 운동을 방해하지 않는다.
도 4b에는 갈퀴 모양의 아암을 도시하였다. 중앙 지지핀이 아니라, 중앙에서 떨어져서 점 접촉하는 지지핀 3개를 사용하는 경우에도 아암의 모양은 기판을 지지할 수 있고 지지핀 3개의 상하 운동을 방해하지 않는 모양으로 한다.
아암(490a, 490b, 490c)은 기판 입출입구(440)로 들어온 기판 3개를 차례로 받아 지지핀(460a, 460b, 460c)에 기판을 안착시킨다. 기판 3개를 모두 안착시킨 후에 아암(490a, 490b, 490c)은 기판 지지대(420a, 420b, 420c)의 구동을 방해하지 않도록 도 4b에 도시된 바와 같이 배치된다.
도 4b의 장치에서 챔버 덮개와 반응기 덮개는 도 4a에서와 마찬가지로 구성하여 반응기 덮개 안의 원료 기체가 모든 반응기 안에서 같은 방향으로 흐르도록 반응 장치를 구성한다.
도 4a 및 도 4b에서는 반응기가 3개인 경우이지만, 반응기는 2개 내지 8개 일 수 있으며, 2개 내지 4개인 것이 바람직하다.
그러면, 도 4a 및 도 4b에 도시한 수평 흐름 원자층 증착 장치를 이용하여 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에 의하여 원자층을 증착하는 방법에 대하여 설명한다.
먼저, 기판 지지대 3개에 모두 기판을 올려놓고 반응기 덮개(410a, 410b, 410c)가 기판 지지대(420a, 420b, 420c)와 밀착한 상태에서 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/3 정도까지 막을 증착한다. 그 후, 원료 기체의 공급을 멈추고 기판 지지대(420a, 420b, 420c)를 하강한다. 그리고 아암축(492)을 구동하여 기판지지대(420a)에 놓여 있던 기판을 기판지지대(420b)로, 기판지지대(420b)에 놓여 있던 기판을 기판지지대(420c)로, 기판지지대(420c)에 놓여 있던 기판을 기판지지대(420a)로 이동한다. 이때, 도 3에 도시한 수평 흐름 원자층 증착 장치와는 달리 기판 지지대는 상하 운동만 할 뿐 수평 방향으로는 움직이지 않는다. 다음으로, 기판 지지대(420a, 420b, 420c)를 상승하여 반응기 덮개(410a, 410b, 410c)가 기판 지지대(420a, 420b, 420c)와 밀착한 상태에서 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/3 정도를 증착한다.
그 후, 다시 원료 기체의 공급을 멈추고 기판 지지대(420a, 420b, 420c)를 하강한다. 그리고 아암축(492)을 구동하여 기판지지대(420a)에 놓여 있던 기판을 기판지지대(420b)로, 기판지지대(420b)에 놓여 있던 기판을 기판지지대(420c)로, 기판지지대(420c)에 놓여 있던 기판을 기판지지대(420a)로 이동한다. 그 후, 기판 지지대(420a, 420b, 420c)를 상승하여 반응기 덮개(410a, 410b, 410c)를 기판 지지 대(420a, 420b, 420c)에 밀착하고, 원료 기체들을 순차적으로 공급하여 원하는 막 두께의 약 1/3 정도를 증착한다.
원하는 두께의 막을 증착한 후, 기판 지지대(420a, 420b, 420c)를 하강시키고 원하는 박막이 형성된 기판 3장을 꺼내고 새 기판 3장을 올려놓은 후, 이 과정을 되풀이한다.
이렇게 하여 기체 흐름의 방향을 120° 만큼 회전시키며 원하는 두께의 약 1/3정도 두께의 막을 증착하여 균일도가 향상된 막을 형성할 수 있다.
본 실시예에서는 반응기가 3개인 경우에 대하여 설명하였지만, 앞서 설명하였듯이, 반응기는 2개 내지 8개 일 수 있으며, 2개 내지 4개인 것이 바람직하다. 따라서, 기판의 회전 이동 각도는 반응기의 개수에 따라 달라지는데, 반응기의 개수가 2개 내지 4개인 경우, 약 180°, 약 120°, 약 90°정도일 수 있다.
다음으로, 본 발명의 다른 한 실시예에 따른 수평 흐름 원자층 증착 장치 및 수평 흐름 원자층 증착법에 대하여, 도 5를 참고로 하여 설명한다.
도 5는 4개의 반응실을 가지는 수평 흐름 원자층 증착 챔버의 개략도이다.
도 5를 참고 하면, 본 실시예에 따른 수평 흐름 원자층 증착 챔버(500)는 4개의 반응기(520a, 520b, 520c, 520d)를 포함한다. 도 5에서 각 반응기 내의 원료 기체의 흐름을 화살표로 나타낸다.
도 5를 사용하여 본 발명의 실시예에 따른 수평 흐름 원자층 증착법으로 박막을 증착하는 방법에 대하여 간략하게 설명한다.
먼저, 반응기 4개에 기판을 모두 장착하고 원하는 두께의 약 1/4 정도까지 막을 증착한 후, 기판을 다음 반응실로 옮기는 과정을 4번 되풀이하여, 기판에 대한 기체 흐름의 방향을 약 90° 만큼 회전시키며 원하는 두께의 약 1/4 정도씩 원자층을 증착하여 균일도가 향상된 막을 형성할 수 있다.
도 5의 장치로 원하는 두께의 막을 2번에 나누어 증착할 수도 있다. 반응실 4개에 모두 기판을 장착하고 원하는 두께의 약 1/2 정도까지 막을 증착한 후 기판을 바로 옆의 반응기로 이동하는 것이 아니라, 서로 마주 보는 반응기로 이동한 후, 나머지 약 1/2 두께의 막을 증착한다. 이에 의하여, 기판에 대한 원료 기체의 흐름의 방향을 약 180°정도 회전하며 원하는 두께의 약 1/2씩 원자층을 증착함으로써, 균일도가 향상된 막을 형성할 수 있다.
위에서 설명한 수평 흐름 원자층 증착 장치들과는 달리, 하나의 챔버 안에 여러 개의 반응기를 구비한 장치 대신 독립적인 공정 모듈을 구비한 클러스터 장치에서도 본 발명의 방법을 적용할 수 있다. 이에 대한 한 예를 도 6에 도시하였다.
도 6을 참고하면, 본 실시예에 따른 수평 흐름 원자층 증착 장치는 복수 개의 독립적인 반응기와 기판을 각 반응기에 장착 또는 탈착하거나, 이동시킬 수 있는 이송 모듈을 포함한다. 이송 모듈은 로봇 팔(robot arm)을 포함할 수 있다.
공정 기체는 독립적인 각 반응기 내에서 기판이 놓인 면과 그와 마주한 면 사이를 기판에 대체로 평행한 방향으로 흐르는데, 각 반응기 내의 공정 기체 흐름 방향은 도 6에 화살표로 나타내었다. 도 6에 도시한 바와 같이, 본 실시예에 따른 원자층 증착 장치의 각 반응기에서 공정 기체는 각기 다른 일정한 방향으로 흐른다. 또한, 본 실시예에 따른 원자층 증착 장치의 반응기들 중 적어도 두 개는 서 로 반대 방향으로 공정 기체가 흐를 수 있다.
도 6에 도시한 바와 같이, 본 실시예에 따른 수평 흐름 원자층 증착 장치에서는 기체 흐름의 방향이 서로 반대인 수평 흐름 원자층 공정 모듈 2개를 이용하여 수평 흐름 원자층 증착 장치를 구성한다.
도 6에 도시한 수평 흐름 원자층 증착 장치를 이용하여, 막을 증착하는 방법에 대하여 설명한다. 이송 모듈을 이용하여, 서로 반대 방향으로 흐르는 반응기들 내에 기판을 장착하고, 원하는 두께의 약 1/2 정도의 두께를 가지는 박막을 증착한 후, 이송 모듈을 이용하여 각 반응기들 내에서 서로 기판을 이동시킨 후, 다시 원하는 두께의 약 1/2 정도의 두께를 가지는 박막을 증착함으로써, 공정 기체 흐름의 방향을 바꿈으로써, 균일도가 향상된 막을 형성할 수 있다.
이때, 수평 흐름 원자층 증착 반응기 안에서 기체가 흐르는 방향을 다양하게 하면, 여러 개의 공정 모듈을 이용하여야 하고, 여러 개의 공정 모듈은 서로 완전히 동일하지 않을 수 있다. 따라서, 공정 모듈의 수가 너무 많지 않은 것이 바람직하다. 본 실시예에 따른 원자층 증착 장치에서는 6에 보인 것처럼 기체 흐름의 방향이 반대인 수평 흐름 원자층 공정 모듈 2 가지를 이용하는 수평 흐름 원자층 증착 장치를 구성하여, 효율적으로 막을 증착할 수 있다.
도 7을 참고하여, 본 발명의 또 다른 실시예에 따른 수평 흐름 원자층 증착 장치를 설명한다. 도 7에 도시한 수평 흐름 원자층 증착 장치(700)는 네 개의 반응실(710a-710d)과 하나의 로봇 팔(730)을 구비한다. 각 반응실(710a-710d)은 한번에 하나의 기판(720a-720d)을 처리한다. 각 반응실(710a-710d)은 처리되는 기 판(720a-720d) 위로 층 반응 기체(laminar reaction gas)가 흐르도록 이루어져 있다. 반응실(710a-710d) 내에서 층 반응 기체의 흐름 방향은 반응실(710a-710d) 내에 도시한 화살표로 나타낸다. 도 7에 도시한 실시예에서, 반응실(710a-710d)은 실질적으로 반원을 형성하도록 배열되어 있고, 모든 기체 흐름 방향은 반원의 중심부에서 주변부로 방사상 형태로 확장된다. 그래서 모든 기체 흐름 방향은 수평 흐름 원자층 증착 장치(700)의 중심부에 대해 동일한 기체 흐름 방향(orientation)을 갖는다.
로봇 팔(730)은 하나의 반응실(710a-710d)에서 다른 반응실(710a-710d)로 기판을 이송한다. 기판을 이송할 때, 로봇 팔(730)은 기판을 회전시키므로, 서로 다른 반응실(710a-710d)에서 기판은 기체 흐름 방향에 대해 서로 다른 방향(orientation)으로 위치할 수 있다. 기판이 로봇 팔(730)에 의해 지지되는 동안 로봇 팔(730)은 기판을 회전시킬 수 있는 수단(mechanism)을 구비할 수 있다. 혹은, 로봇 팔(730)은 로봇 팔(730)과 기판을 실제로 지지하는 끝단 작동체(end effector)에 대한 기판 배치 각도(angular orientation)를 변경하여 기체 흐름 방향에 대한 기판 배치 각도를 변경할 수 있는 별도의 독립적인(stand-alone) 정렬 장치와 연동하여 동작할 수 있다. 도 7에 도시한 것처럼, 반응실(710a-710d)의 개수는 균일한 막을 형성하기 위해 이루어지는 증착 횟수에 따라 결정될 수 있다. 증착 횟수가 적을수록 증착 공정의 효율성은 향상되는 반면, 증착 횟수가 증가할수록 형성된 최종 막의 균일성은 향상된다. 반응실의 구조와 로봇 팔의 사용에 대한 설명을 제외하면, 도 7에 도시한 증착 장치(700)를 이용하여 막을 형성하는 공정은 이미 위에서 기술한 실시예들의 공정과 동일할 수 있다. 반응실(710a-710d)은 적당한 방식으로 정렬될 수 있음은 당업자에게 자명할 수 있고, 로봇 팔(730)은 반응실(710a-710d)의 배열과 반응실(710a-710d)에서의 기체 흐름 방향에 기초하여 기판의 배치 방향을 조정할 수 있다.
위에 기술한 실시예에서, 기판 배치나 기체 흐름 방향 중 어느 하나를 변경하여, 기판 배치와 기체 흐름 방향 사이의 상대적인 위치 관계를 변경할 수 있다. 또 다른 실시예에서, 기판 배치와 기체 흐름 방향 모두를 변경함으로써 기판 배치와 기체 흐름 방향 사이의 상대적인 위치 관계를 변경할 수 있다.
본 발명의 범위는 상기 실시예들에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상의 지식을 가진 자에 의해 자명한 많은 변형이 이루어질 수 있음은 명백하다.
도 1a 및 도 1b는 본 발명의 한 실시예에 따른 수평 흐름 원자층 증착 장치를 개략적으로 나타낸 단면도이다.
도 2a와 도 2b는 본 발명의 실시예에 따른 수평 흐름 원자층 증착법에 따른 원료 기체 흐름의 한 예를 도시한다.
도 3은 본 발명의 다른 실시예에 따른 수평 흐름 원자층 증착 장치를 개략적으로 나타낸 단면도이다.
도 4a는 본 발명의 다른 실시예에 따른 수평 흐름 원자층 증착 장치의 반응기를 나타내는 도면이다.
도 4b는 도 4a에 도시한 수평 흐름 원자층 증착 장치의 챔버의 일부를 도시한 평면도이다.
도 5는 본 발명의 다른 실시예에 따른 4개의 반응실을 가지는 수평 흐름 원자층 증착 챔버의 개략도이다.
도 6은 본 발명의 다른 실시예에 따른 원자층 증착 반응기의 예를 개략적으로 나타낸 단면도이다.
도 7은 본 발명의 또 다른 실시예에 따른 원자층 증착 반응기의 예를 개략적으로 나타낸 단면도이다.

Claims (33)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 반응기 내에서 증착되는 기판을 중심으로 반응 기체가 흐르는 방향이 서로 같은 제1 반응기와 제2 반응기를 포함하는 복수의 반응기를 이용하여, 상기 기판 위에 막을 증착하는 방법에서,
    제1 반응기에서 상기 기판을 기준으로 제1 방향으로 적어도 하나의 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제1 막을 형성하는 단계,
    상기 제1 막이 형성된 상기 기판을 회전시키는 단계,
    상기 기판을 상기 제1 반응기에서 제2 반응기로 이송하는 단계, 그리고
    상기 제2 반응기에서 상기 기판을 기준으로 제2 방향으로 상기 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제2 막을 형성하는 단계를 포함하고,
    상기 제2 방향은 상기 기판에 대해 상기 제1 방향과 상이하며, 상기 제1 막과 상기 제2 막은 동일한 물질로 형성되는 막 증착 방법.
  9. 제8항에서,
    상기 제1 막은 목표 두께보다 얇은 제1 두께를 갖고, 상기 제2 막은 상기 목표 두께보다 얇은 제2 두께를 갖는 막 증착 방법.
  10. 제9항에서,
    상기 제1 두께 및 제2 두께 각각은 상기 목표 두께의 1/n(n은 2 이상의 자연수)인 막 증착 방법.
  11. 제10항에서,
    상기 n은 2 내지 8의 자연수인 막 증착 방법.
  12. 제8항에서,
    상기 제2 방향은 상기 제1 방향에서부터 (360/n)°(n은 2 이상의 자연수)의 각도만큼 상이한 막 증착 방법.
  13. 삭제
  14. 반응기 내에서 증착되는 기판을 중심으로 반응 기체가 흐르는 방향이 서로 다른 제1 반응기와 제2 반응기를 포함하는 복수의 반응기를 이용하여, 상기 기판 위에 막을 증착하는 방법에서,
    제1 반응기에서 상기 기판을 기준으로 제1 방향으로 적어도 하나의 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제1 막을 형성하는 단계,
    상기 제1 막이 형성된 상기 기판을 회전시키는 단계,
    상기 기판을 상기 제1 반응기에서 제2 반응기로 이송하는 단계, 그리고
    상기 제2 반응기에서 상기 기판을 기준으로 제2 방향으로 상기 반응 기체를 수평으로 상기 기판 위에 흘려 상기 기판 위에 제2 막을 형성하는 단계를 포함하고,
    상기 제2 방향은 상기 기판에 대해 상기 제1 방향과 상이하며, 상기 제1 막과 상기 제2 막은 동일한 물질로 형성되는 막 증착 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 기판 위에 박막을 증착하는 장치에서,
    상기 기판 위에 증착이 행해지는 밀폐된 반응 공간을 각각 규정하는 제1 반응실과 제2 반응실을 포함하는 복수의 반응실, 그리고
    상기 복수의 반응실 중 제1 반응실에서 제2 반응실로 상기 기판을 이송하는 이송 장치
    를 포함하고,
    상기 반응 공간은 상기 기판 위의 한 방향으로 층 기체(laminar gas) 흐름을 제공하고, 상기 반응실은 상기 반응 공간으로 동일한 반응 기체를 제공하며,
    상기 제1 반응실과 상기 제2 반응실은 서로 동일한 방향으로 상기 반응 기체를 제공하고,
    상기 이송 장치는 상기 제1 반응실에서 상기 제2 반응실로 상기 기판을 이송하기 전에, 상기 기판을 회전시키는 박막 증착 장치.
  23. 삭제
  24. 제22항에서,
    상기 반응실 각각은 반응기 덮개 및 기판 지지대를 포함하고,
    상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대는 상기 이송 장치가 상기 기판을 회전시키는 동안 서로 분리되어 있는
    박막 증착 장치.
  25. 제24항에서,
    상기 반응실 각각은 상기 기판 지지대와 함께 상기 반응 공간을 규정하는 기체 흐름 유도 구조물을 더 포함하는 박막 증착 장치.
  26. 제24항에서,
    상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대가 적어도 서로 분리되어 있는 동안 상기 반응실의 상기 기판 지지대를 지지하는 바닥판을 더 포함하고,
    상기 이송 장치는 상기 바닥판을 회전시키는
    박막 증착 장치.
  27. 제24항에서,
    상기 이송 장치는 상기 반응실 각각의 상기 반응기 덮개와 상기 기판 지지대가 서로 분리되어 있는 동안 상기 기판을 각각 지지하는 하나 이상의 아암을 포함하고, 상기 하나 이상의 아암은 상기 한 반응실에서 다른 반응실로 상기 기판을 이송하는 박막 증착 장치.
  28. 제27항에서,
    상기 반응실은 밀폐된 통로를 형성하도록 정렬되어 있고,
    상기 박막 증착 장치는 구체적으로 상기 통로의 중심부에 위치하고 상기 하나 이상의 아암과 연결된 아암축을 더 포함하며,
    상기 아암축은 상기 하나 이상의 아암을 회전시키는
    박막 증착 장치.
  29. 기판 위에 박막을 증착하는 장치에서,
    상기 기판 위에 증착이 행해지는 밀폐된 반응 공간을 각각 규정하는 제1 반응실과 제2 반응실을 포함하는 복수의 반응실, 그리고
    상기 복수의 반응실 중 제1 반응실에서 제2 반응실로 상기 기판을 이송하는 이송 장치를 포함하고,
    상기 반응 공간은 상기 기판 위의 한 방향으로 층 기체(laminar gas) 흐름을 제공하고, 상기 복수의 반응실 각각은 상기 반응 공간으로 동일한 반응 기체를 제공하며,
    상기 제1 반응실과 상기 제2 반응실은 서로 다른 방향으로 상기 반응 기체를 제공하는 박막 증착 장치.
  30. 제29항에서,
    상기 제1 반응실과 상기 제2 반응실은 (360/n)°의 각도만큼 다른 방향으로 상기 반응 기체를 제공하는 박막 증착 장치.
  31. 제22항에서,
    상기 이송 장치는 상기 제1 반응실에서 상기 제2 반응실로 상기 기판을 이송하기 전에 (360/n)°(n은 2 이상인 자연수)의 각도만큼 상기 기판을 회전시키는 박막 증착 장치.
  32. 제31항에서,
    상기 n은 2 내지 8의 자연수인 박막 증착 장치.
  33. 제22항에서,
    상기 이송 장치는 상기 기판을 회전시킴으로 하나의 반응실에서 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치를, 다른 반응실에서의 상기 층 기체 흐름의 방향에 대한 상기 기판의 배치와 다르게 하는 로봇 팔을 포함하는 박막 증착 장치.
KR20080030850A 2007-04-02 2008-04-02 막 증착 장치 및 방법 KR101512079B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020070032422 2007-04-02
KR20070032422 2007-04-02

Publications (2)

Publication Number Publication Date
KR20080090328A KR20080090328A (ko) 2008-10-08
KR101512079B1 true KR101512079B1 (ko) 2015-04-14

Family

ID=39794846

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20080030850A KR101512079B1 (ko) 2007-04-02 2008-04-02 막 증착 장치 및 방법

Country Status (2)

Country Link
US (1) US20080241384A1 (ko)
KR (1) KR101512079B1 (ko)

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2419306B1 (en) * 2009-04-14 2016-03-30 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (cvd) reactor
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
KR101369282B1 (ko) 2010-06-09 2014-03-04 솔렉셀, 인크. 고생산성 박막 증착 방법 및 시스템
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101288130B1 (ko) * 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101328980B1 (ko) * 2011-07-13 2013-11-13 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
KR101288129B1 (ko) 2011-07-13 2013-07-19 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107086186B (zh) * 2016-02-15 2019-10-29 北京北方华创微电子装备有限公司 一种反应腔室和基片加工设备
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
CN106206376A (zh) * 2016-07-15 2016-12-07 无锡宏纳科技有限公司 集成电路制造用多平台工作台
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) * 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP6987821B2 (ja) * 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
CN113628988B (zh) * 2020-05-08 2024-08-09 台湾积体电路制造股份有限公司 半导体晶圆的制造方法及半导体制造设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023129142A1 (en) * 2021-12-28 2023-07-06 Applied Materials, Inc. Electronic device manufacturing systems having paired deposition chambers for enhanced deposition uniformity

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579374B2 (en) * 1999-05-10 2003-06-17 Asm Microchemistry Oy Apparatus for fabrication of thin films
KR20030047975A (ko) * 2003-05-29 2003-06-18 배준호 반도체 및 엘씨디 제조장비의 기판 이송장치 및 그 방법
KR20040099891A (ko) * 2003-05-20 2004-12-02 삼성전자주식회사 균일한 두께의 박막을 형성하기 위한 방법 및 이를 위한장치
JP2005539146A (ja) * 2002-10-08 2005-12-22 マイクロン テクノロジー, インク. 原子層蒸着方法及び原子層蒸着装置

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3397297A (en) * 1966-02-24 1968-08-13 Ca Atomic Energy Ltd Induction heating apparatus
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
US4834022A (en) * 1985-11-08 1989-05-30 Focus Semiconductor Systems, Inc. CVD reactor and gas injection system
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6190457B1 (en) * 1996-03-22 2001-02-20 Nippon Sanso Corporation CVD system and CVD process
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
DE60035948T2 (de) * 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
EP1221178A1 (en) * 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
IL160164A0 (en) * 2001-08-09 2004-07-25 Integrated Dynamics Engineering Edge gripping pre-aligner
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
WO2003100836A1 (en) * 2002-05-21 2003-12-04 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7326502B2 (en) * 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
EP1790758A1 (en) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579374B2 (en) * 1999-05-10 2003-06-17 Asm Microchemistry Oy Apparatus for fabrication of thin films
JP2005539146A (ja) * 2002-10-08 2005-12-22 マイクロン テクノロジー, インク. 原子層蒸着方法及び原子層蒸着装置
KR20040099891A (ko) * 2003-05-20 2004-12-02 삼성전자주식회사 균일한 두께의 박막을 형성하기 위한 방법 및 이를 위한장치
KR20030047975A (ko) * 2003-05-29 2003-06-18 배준호 반도체 및 엘씨디 제조장비의 기판 이송장치 및 그 방법

Also Published As

Publication number Publication date
US20080241384A1 (en) 2008-10-02
KR20080090328A (ko) 2008-10-08

Similar Documents

Publication Publication Date Title
KR101512079B1 (ko) 막 증착 장치 및 방법
KR100782529B1 (ko) 증착 장치
US11248294B2 (en) Substrate processing apparatus
JP3078620U (ja) 薄膜を成長させるための装置
KR20150120400A (ko) 캐러셀 원자 층 증착을 위한 장치 및 방법들
JP5964107B2 (ja) 半導体製造装置および半導体製造方法
WO2012083846A1 (zh) 金属有机化学气相沉积设备及其腔室组件
CN102543689A (zh) 衬底处理装置、衬底的制造方法及半导体器件的制造方法
US10793949B2 (en) Substrate processing apparatus and substrate processing method using the same
CN111354657B (zh) 半导体多站处理腔体
KR20110009581A (ko) 수평 흐름 증착 장치 및 이를 이용한 증착 방법
KR20180015578A (ko) 성막 장치, 성막 방법 및 기억 매체
TW201820512A (zh) 基板處理裝置及基板處理方法
TW202127542A (zh) 基板處理裝置、昇降機構、半導體裝置之製造方法及程式
KR101625478B1 (ko) 수직 적층식 히터를 구비한 박막 증착 장치 및 이를 이용한 박막 증착 방법
JP7147551B2 (ja) 気相成長装置及びこれに用いられるキャリア
CN218321744U (zh) 外延沉积设备
CN113439323B (zh) 气相成长装置
KR100317462B1 (ko) 기판 처리 장치
KR101385445B1 (ko) 박막 증착장치
KR101804127B1 (ko) 박막 증착 방법
JP2003013231A (ja) 容器内面成膜用cvd装置及び容器内面成膜方法
JP3149697B2 (ja) ウェハ処理装置
JP6772039B2 (ja) 有機金属化学気相成長装置
JPS60165379A (ja) 連続型気相成長方法および装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right